[논리회로설계]Kit 를 활용한 ALU 구현
본 자료는 3페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
해당 자료는 3페이지 까지만 미리보기를 제공합니다.
3페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[논리회로설계]Kit 를 활용한 ALU 구현에 대한 보고서 자료입니다.

목차

1. 개요
2. 디자인
3. 결론
4. 느낀점

본문내용

'0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111101";
when x"7" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0000111";
when x"8" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111111";
when x"9" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1100111";
when x"A" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1110111";
when x"B" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111100";
when x"C" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0111001";
when x"D" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0111111";
when x"E" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111001";
when x"F" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1110001";
when others => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0100000";
end case;
elsif (cnt2 =4) then
case tmp_out(7 downto 4) is
when x"0" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "0111111";
when x"1" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "0000110";
when x"2" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1011011";
when x"3" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1001111";
when x"4" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1100110";
when x"5" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1101101";
when x"6" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1111101";
when x"7" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "0000111";
when x"8" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1111111";
when x"9" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1100111";
when x"A" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1110111";
when x"B" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1111100";
when x"C" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "0111001";
when x"D" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "0111111";
when x"E" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1111001";
when x"F" => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "1110001";
when others => SEG2_COM2 <= '0'; SEG2_COM3 <='1'; output (6 downto 0) <= "0100000";
end case;
end if;
end if;
end if;
end process;
end Behavioral;
Conclusion
ALU를 설계하여 이를 KIT를 통해 구현하였다. 다양한 케이스의 연산이 이미 입력된 입력 값을 이용하여 버튼의 조작으로 여러 연산을 수행시켰다.
kit의 버튼에 따라 다양한 입력값이 인가될 수 있도록 하였으며
여러 연산이 버튼에 따라 조작될 수 있도록 구현하였고
기본적인 2진수 led 출력부터 16진수 7segments의 점등까지 구현하여 보았다.
Evaluation
ALU를 KIT를 통해서 구현하였다. 실험을 마치기 위한 출력은 비록 s가 1000일 때인 a값이 그대로 출력되는 것 뿐이었지만 다른 출력값을 확인해 보기 위해서 여러 가지 s 값을 시도하였고 아쉽게도 7segments는 제대로 구현되지 못했지만 led상에서는 제대로 작동하고 있어 의미가 있는 실험이었다.
입력을 인가하는 과정도 저장된 입력 값을 버튼 두 개를 이용하여 다른 입력값을 줄 수 있다는 점도 배울 점이었으며 그 과정에서 조건문을 실수하여 원하는 입력을 줄 수 없었는데 이를 해결해 나가는 과정에서 기본적인 조건문 알고리즘이 조금 더 이해가 가기 시작했다.
아직 KIT를 다루는 데에는 조금 미숙한 점이 있지만 다음 실험 부터는 조금더 익숙해지지 않을까 기대해봤다.

키워드

  • 가격1,500
  • 페이지수11페이지
  • 등록일2014.06.23
  • 저작시기2014.5
  • 파일형식한글(hwp)
  • 자료번호#925440
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니