• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 441건

플래쉬와 에이전트를 제어하는 정보를 XML파일로 저장하고 XML데이트를 기초로 플래쉬와 에이전트(아바타)를 컨트롤 하는 소스입니다. 소스를 응용하면 유용하게 활용할 수 있을 겁니다. 액션제어소스를 이용하여 에이젼트를 불러와 제어
  • 페이지 1페이지
  • 가격 6,000원
  • 등록일 2006.11.21
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제어장치, 컴퓨터. # 익스플러로와 넷스케이프의 동일기능 비교 익스플러로 넷스케이프 Outlook Express Messenger Front Page Composer 새로고침 Reload 즐겨찾기 Bookmarks 총정리 끝. 공부하시느라 수고하셨구요. 인터넷 정보검색사시험 꼭 합격하세요. 
  • 페이지 18페이지
  • 가격 2,800원
  • 등록일 2012.10.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제어 1) 실험개요 2) 부품리스트 3) 회로도 4) 소스코드 5) 실험결과 6) 원리 및 동작해석 2. PWM 기능을 이용한 제어 1) 실험개요 2) 부품리스트 3) 회로도 4) 소스코드 5) 실험결과 6) 원리 및 동작해석 3. 8개의 서보모터 동시제어 1) 실험개
  • 페이지 26페이지
  • 가격 4,000원
  • 등록일 2016.10.31
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
제어기 설계 (1) VHDL code중에 cnt= "111101000010001111"는 2진수로 표현한 값이다. 10진수와 16진수로 변경하여 입력 하기 위해선 어떻게 해야 하는지 설명하시오. (16진수 표현법은 X"값“) (2) 이번실험에서 2개 바퀴를 위해 변경 한 부분의 소스를
  • 페이지 3페이지
  • 가격 3,360원
  • 등록일 2013.05.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
2.3.2 컨트롤러 및 제어부 2.3.3 Software 개발환경 2.3.4 제어소스 2.4 진행과정 2.4.1 진행과정 2.4.2 제작과정 2.4.3 프로그램 다운로드 2.4.4 오차 측정 3. 회로도 3.1 전체 회로도 3.2 입출력 파형 3.3 완성 작품 사진 4. Reference
  • 페이지 45페이지
  • 가격 3,000원
  • 등록일 2006.12.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
> #define BUS_STOP 19 #define BUS_INTERVAL 300 #define BUS_DRIVE 180 #define HUMAN_ARRIVAL 30 #define BOARDING_TIME 6 const int numOfbus = 30; enum {BUS_LEAVE,BUS_ARRIVE,HUMAN_ARRIVE}; //event type struct EVENT_TYPE { long time; int event_type,data; EVENT_TYPE* next; }; void insertq(long,int,int)
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2002.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
소스와 같이 ADC 관련 레지스터들을 설정하고 [56번]째와 같이 ADCSRA 레지스터의 ADIF 비트를 set 시켜 컨버팅을 시작한다. 그리고 [57번]과 같이 변환완료를 표시하는 ADIF 비트가 set 될 때까지 대기한다. . 목 표 Ⅱ. 개발환경 Ⅲ. 실험 1. 광
  • 페이지 15페이지
  • 가격 4,000원
  • 등록일 2016.04.01
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
//2초후 (0.01*200=2sec) { PORTC=r_out; //Red on } if(TimeCount==900) //9초후 { PORTC=a_out; //Arrow,Green on } if(TimeCount==1200) //12초후 { PORTC=g_out; //Green on } if(TimeCount==1400) //14초후 { TimeCount=0; //Yellow on } } } 6)실제 구동모습 신호등제어 동작순서 설계요소
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2011.05.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
source: System Clock // Clock value: Timer 0 Stopped // Mode: Normal top=FFh // OC0 output: Disconnected TCCR0=0x00; TCNT0=0x00; OCR0=0x00; // Timer/Counter 1 initialization // Clock source: System Clock // Clock value: Timer 1 Stopped // Mode: Normal top=FFFFh // OC1A output: Discon. // OC1B output
  • 페이지 9페이지
  • 가격 10,000원
  • 등록일 2008.11.19
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
#include <avr/signal.h> #include <avr/pgmspace.h> #include <avr/io.h> #include <avr/signal.h> #include <avr/interrupt.h> #include <avr/delay.h> #include <avr/wdt.h> #include <avr/iom16.h> #define BYTE unsigned char #define WORD unsigned in
  • 페이지 9페이지
  • 가격 4,000원
  • 등록일 2008.12.03
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top