• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 12건

모터 컨트롤’, 지앤북 [2] Bonnie C. Baker, ‘센서리스 BLDC모터 컨트롤의 장점’, 마이크로칩 터크놀로지 [3] 김정욱 외 4명, ‘The BLDC Motor Control for Platform Screen Door' [4] 이희중 외 3명, ‘Design of Control System to Drive EMDP(Electric Motor Driven Pump) using Brushles
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
모터 각을 10등분하여 PWM 듀티 값에 쓰는 딜레이는 짧게 하고 더욱 정밀한 각도로 움직이도록 했다. 이 방법은 모터의 움직임을 매우 부드럽게 해주었고 로봇팔의 링크의 연결부위를 더욱 정교하고 튼튼하게 설계한다면 그 효과는 더욱 좋아
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계해보고 성능을 분석해 보았다. Hamming code를 설계하기까지 많은 시행착오가 있었다. 알고리듬의 이해, Simulink 툴 사용의 미숙으로 인한 시행착오 등 이런 문제들을 해결하기 위해 인터넷에서 자료 조사와 서적을 통한 공부로 극복하려고 노
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2.4.2 측정 장치의 설계도면 2.4.3 실험에 필요한 부품 및 장비 2.4.4 설계 조건 2.4.5 실험 방법 2.4.6 실험 결과 제 3 장 결 론 3.1 정전기 일반론 3.2 정전기 피해 및 예방대책 3.3 정전기 측정 장치 3.4 고찰
  • 페이지 29페이지
  • 가격 3,000원
  • 발행일 2009.12.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
모터의 환경적인 요소의 제약을 줄일 수 있고, 기구적인 설계 제약을 줄일 수 있다. 참고문헌 1. Bonnie C. Baker, ‘센서리스 BLDC 모터 컨트롤의 장점’, 마이크로칩 테크놀로지 2. 김정욱 외 4명, ‘The BLDC Motor Control for Platform Screen Door' 3. 하용봉,
  • 페이지 23페이지
  • 가격 4,000원
  • 발행일 2009.10.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
AVR Atmega 128을 기반으로 하여 서보모터를 구동 및 제어하고, 무선 리모컨으로 적외선 통신을 활용하는 것이다. 1. 연구목적 2. 연구 과제 수행 과정 및 방법 3. 업무 분담 4. 제작 일정 5. 설계 과정 6. 제작 및 조립 과정 7. 완성
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2008.12.27
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
설계 디자인 4 2.1.3 설계 3D 디자인 5 2.1.4 설계 도면 6 2.1.5 회로 연결 포트 7 2.2 소프트웨어 9 2.2.1 본체 구동 코딩 9 2.2.1.1 AX 12+ 서보모터 Setting 9 2.2.1.2 AX 12+ 서보모터 Main 12 2.2.1.3 AX 12+ 서보모
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Simulink software. A static power control algorithm is proposed to improve the fuel economy of the vehicle and it is implemented on a DSP to configure a simulator system for a FCHEV.The simulator system for FCHEV is implemented using DSP boards with CAN protocol which is being used as a network prot
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
모터를 구동하고 LCD에 내 이름을 쓸 때 너무 재미있었다. 계속 이쪽을 더욱 공부 하고 싶고 조금 더 일직 만들 기회가 있었으면 좋겠다는 아쉬움이 남는다. 하면서 센서부와 RF부에서 아직도 많이 모르는 부분들이 있는데 계속 업그레이드를
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 ---------------------- p.18 (3) 흐름도 ---------------------- p.21 Ⅳ. 실 험 (1) SRF04 초음파 센서 거리값 측정 ---------- p.26 Ⅴ. 결과 고찰 ----------------------- p.27 Ⅵ. 결 론 ------------------------- p.29 Ⅶ. 참고 문헌 ----------------
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 다음
top