VHDL을 이용한 자판기 설계
본 자료는 5페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
해당 자료는 5페이지 까지만 미리보기를 제공합니다.
5페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

VHDL을 이용한 자판기 설계에 대한 보고서 자료입니다.

목차

1.개요
2.구현요소
3.상태도[개념도]
4.상태표
5.상태도[동작도]
6.문제점
7.고찰

본문내용

반도체 직접회로설계 수업을 들으며 익힌
내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다.
미니 커피 자판기를 위한 코딩으로써 음식점 입구에서 흔히 볼 수 있다.
이 미니 커피 자판기는 100원 짜리 한가지 동전만 받고 세 종류의 음료를 제공한다.
3가지의 음료는 각기 다른 가격으로 코딩한다.
동전 반환을 위한 리턴 버튼이 있고, 4개 즉 400원 이상 동전이 들어오면 자동 반환된다.

키워드

  • 가격3,000
  • 페이지수16페이지
  • 등록일2009.06.21
  • 저작시기2009.6
  • 파일형식기타(pptx)
  • 자료번호#542588
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니