• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,568건

컴퓨터, 휴대폰, 자동차와 같은 다양한 전자 장치에 사용됩니다. 그들은 크기와 비용을 줄이면서 이러한 장치의 성능과 기능을 크게 향상시켰습니다. 이 자료에서는 마이크로프로세서의 구조 및 특징, 발전과정, 유형 등에 대하여 알아봅니다
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2023.01.26
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설명했고, 네이버를 이용한 QR코드를 만들어보았습니다. 2022년 1학기 컴퓨터의이해 중간과제 참고에 편리하게 구성되어 있습니다. 여러 가지 다양한 서적, 학술지, 논문을 참고하여 작성하였습니다. 참고한 부분은 보기 편하도록 각주와 인
  • 페이지 14페이지
  • 가격 5,000원
  • 등록일 2022.03.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
대하여 설명하라 (나) 메타버스에 대하여 설명하라. (다) 마이크로프로세서에 대하여 설명하라. 2. 컴퓨터에서 데이터의 입출력 방식에 대한 다음 내용을 (가)와 (나) 각각 A4 용지 1~2페이지, 총 3페이지 이내로 작성하라. (가) 웨어러블 기기
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2022.03.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
대하여 설명하라. (나) 메타버스에 대하여 설명하라. (다) 마이크로프로세서에 대하여 설명하라. 2. 컴퓨터에서 데이터의 입출력 방식에 대한 다음 내용을 (가)와 (나) 각각 A4 용지 1페이지 (가) 웨어러블 기기 중 한 가지를 선택하여 ① 그 기
  • 페이지 7페이지
  • 가격 4,500원
  • 등록일 2022.09.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
마이크로프로세서, 정익사, 2009. Ⅰ. 서론 Ⅱ. 본론 1. 스마트 폰에 대하여 현재를 기준으로 아래의 사항을 A4 용지 2페이지 내외로 서술하라.(15점) (1) 대표적인 스마트폰 3개 이상을 선정하고 선정이유를 설명한다. 그리고 각각의 운
  • 페이지 15페이지
  • 가격 3,000원
  • 등록일 2015.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 10건

설명 및 사양 2 2.1.2 설계 디자인 4 2.1.3 설계 3D 디자인 5 2.1.4 설계 도면 6 2.1.5 회로 연결 포트 7 2.2 소프트웨어 9 2.2.1 본체 구동 코딩 9 2.2.1.1 AX 12+ 서보모터 Setting 9 2.2.1.2 AX 12+ 서보모터 Main 12
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A Low-Voltage and Wide Range Phase Lock Loop for standard Mobile Image Achitecture, 석사 논문(연세대학교 전기전자 공학과, 삼성전자 LSI 시스템) 2004 <4> 김 대 정, “DLL기반의주파수 합성기” IDEC News Letter January, vol.34, no.5, p.16 ~ p.17 2005 <5> CMOS ADC DLL PLL
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설명 2. 본론 2.1 기본원리 (1) 주차 시뮬레이션 (2) 시스템 구성 (3) 시스템 구성원리 및 특성 1)마이크로 프로세서 2)DC모터 3)센서 4)RF통신 2.2 구현내용 (1) 차량부 (2) 주차장 (3) 하
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
설명 31 3.1.1. Host Program 31 3.1.2. 모터구동 부분 31 3.2. 구현결과 32 3.2.1. ATmega128을 이용한 서보모터 구동 회로 제작 32 3.2.2. Servo motor 구동 pulse 32 3.2.3. Host program의 인터페이스 33 3.2.4. Host program 실행결과 34 3.3. 진행일정 35 4. 결 론 36 5. 참
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
이해 3.3.1.2 홀-센서 피드백 6-스텝 커뮤테이션의 개요 4. BLDC 모터의 제어 4.1 BLDC 모터의 구성 4.2 BLDC 모터의 모델링 4.3 PWM 구현 방식 4.4 PI 전류제어기에 의한 제어 4.5 센서리스(Sensorless) BLDC 모터 제어 4.5.1 역기전력을 이용한 위치
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 38건

A : 공무원은 기본적으로 국민을 위해 봉사한다는 자세를 깊이 자각하고 있어야 하며, 공무원으로서 긍지와 자부심을 가지고 있어야 한다고 생각합니다. 또한 이와 같은 생각을 항상 염두에 두고 자신의 능력을 최대한 발휘할 수 있도록 해야
  • 가격 2,000원
  • 등록일 2007.02.16
  • 파일종류 한글(hwp)
  • 직종구분 기타
. 맞춤법과 띄어쓰기, 오자와 탈자가 있으면 당연히 감점 요인이 된다. 서론-합격 학업계획서의 공통점과 그 방법론에 대하여. 본론-실제 대학원 합격자들의 학업계획서와 그 분석. 결론-성공적인 학업계획서의 작성요령을 찾아서.
  • 가격 2,400원
  • 등록일 2007.10.19
  • 파일종류 한글(hwp)
  • 직종구분 기타
컴퓨터를 통해 소프트웨어적으로 프로그래밍을 하고 하드웨어의 마이크로 컨트롤러와 연결하여 원하는 작동을 수행시킵니다. 직접 프로그래밍도 하고 LCD, 7-SEGMENT 등과 같은 하드웨어를 다루어 보면서 좀 더 전공에 대한 이해도 높일 수 있었
  • 가격 3,000원
  • 등록일 2023.02.16
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
마이크로 프로세서를 이용한 카운팅기계, 대기압이용 극대화, 닥트 시스템 등의 프로젝트를 잘 수행했다고 자부하고 싶습니다. 그 중에서도 컴퓨터를 이용한 작업을 주로 하였습니다. 성장과정 국제 항만과 국제 공항을 통해 세계화의 전진
  • 가격 3,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 직종구분 전문직
대하여 설명해보고, 본인이 어떤 부분에서 회사에 적합한 인재인지 본인이 생각하는 한화/방산의 중장기 비젼과 연계하여 설명해 보세요. 2. (전체총이수학점/전공총이수학점/전공과목전체평점)을 기입하고, 이수전공 중 가장 이해도가 높
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top