• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 310건

Booth 곱셈기를 설계하였다. Evaluation ALU와 Booth 곱셈기를 설계하였다. 가감산기와는 달리 연산 자체를 하나하나 지정해준 것은 아니고 +, -등 연산자를 이용하여 할 수 있었으며 C와 비슷한 if, case등 조건문을 사용하여 C에 익숙한 덕분에 크게 어
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ALU 4-3) Subtraction 추가 4-4) 1-bit ALU & MSB ALU 4-5) 1-bit ALU & MSB ALU 2장 – 관련연구 3장 – Design (1) 설계 단계 1-1) 32-bit ALU 1-2) Booth’s Algorithm 곱셈기 (2) 단계별 구현 2-1) Adder, AND, OR 2-2) Adder, And, OR, Subtraction,
  • 페이지 30페이지
  • 가격 3,000원
  • 등록일 2009.05.10
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로를 구현할 수 있다. 다른 형태의 산술 연산회로를 설계하라. (2) 실 험 1) 산술연산회로를 결선하라. 2) 표 1의 결과가 나오는지 확인하라. 3) 논리연산회로를 결선하라. 4) 표 2의 결과가 나오는지를 확인하라. 5) ALU회로를 결선하라. 6) 표 3의
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2012.04.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
및 설계 1. 명령어 코드 디지털 시스템의 내부조직은 일련의 마이크로연산으로 정의할 수 있다. 용도 1) 특정 목적 디지털 시스템: · 특정 마이크로연산을 영구히 수행한다. 예) 주변기기 제어장치( M/T 제어기) 2) 범용 디지털시스템: · 다양한
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2003.10.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계 1. ALU설계 1) 산술장치 구현 2) 논리장치 구현 >4개의 게이트와 1개의 MUX로 구성 각 게이트가 정해진 논리 연산을 수행하고, 결과중 하나를 MUX로 선택하여 출력값을 결정한다 3) 4X1 MUX 구현 4) 구현된 전체 ALU 회로 >8비트 회로이므로 논
  • 페이지 18페이지
  • 가격 8,400원
  • 등록일 2015.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 6건

회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
및 근거를, 본인의 노력과 경험을 바탕으로 제시해 주시기 바랍니다.[200자 이상 1000자 이내] 3. 입사 후 현대모비스의 발전을 위해 본인이 어떠한 노력을 할 것인지를 중장기적인 관점에서 기재해 주시기 바랍니다.[200자 이상 700자 이내]
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
논리적이고 체계적으로 분석하고 해결하는 데 능숙합니다. 이러한 능력은 대학 시절부터 다양한 프로젝트와 인턴십을 통해 검증되었습니다. 특히, 반도체 설계 및 공정 최적화와 관련된 프로젝트에서 저는 기술적 도전과제를 극복하고 혁신
  • 가격 4,000원
  • 등록일 2023.12.05
  • 파일종류 워드(doc)
  • 직종구분 전문직
top