• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 14건

Motor 8 3.1.3 Servo Motor 8 3.1.4 거리측정 센서 8 3.1.5 광 센서 9 3.1.6 TFT-LCD 9 3.1.7 배터리 9 3.1.8 무선모듈 Xbee Pro 10 3.1.9 카메라 모듈(CTS) 10 제 4 장 회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Esp., pp.202-209, 1991 11. Satoshi Pgasawara and Hirofumi Akagi, 'An Approach to Position Sensorless Drive for Brushless DC Motors', IEEE Trans. Indus. Appli., vol.IA-27, no.5, pp.928-933, September/October 1991 1. 서론 2. BLDC 모터 3. 센서리스 구동 4. 실험 5. 결론 참고문헌
  • 페이지 23페이지
  • 가격 4,000원
  • 발행일 2009.10.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학 (홍릉과학출판사, 2005) (7) Kenneth Krane 저, 현대물리학 (汎韓書籍, 1998) (8) 리차드 바이스 저, 빛의 역사 (끌리오, 1999) 1. 서론 2. 빛과 전자 3. 빛의 양자적 특성 4. 홀로그래피 5. LED 6. 디스플레이 7. 결론 8. 참고 문헌
  • 페이지 59페이지
  • 가격 3,000원
  • 발행일 2007.10.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Motor Control for Platform Screen Door' [4] 이희중 외 3명, ‘Design of Control System to Drive EMDP(Electric Motor Driven Pump) using Brushless DC Motor’, 항공우주기술 제4권 제1호 [5] 하용봉, ‘Sensorless Brushless DC 모터제어(Control of Sensorless BLDC Motor)’, 한국특허정보원 1
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트 3.1 마이크로프로세서 구동회로 제
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험 결과 <그림Ⅲ-8> FCHEV 시뮬레이터의 연료
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
board. 1. 서론 2. OFDM 시스템 - OFDM 전송 방식의 개요 - OFDM 원리 - OFDM의 특징 3. IEEE802.11a Wireless LAN의 모뎀 설계 - 주요 파라미터 - 송신단 - 수신단 . TMS320C6701 DSP Processor 5. 모의실험 6. 시스템 구성 및 구현 결과 7. 결론
  • 페이지 65페이지
  • 가격 12,000원
  • 발행일 2009.07.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
<7> 윤 정 배, “두 개의 DLL을 이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계, 부경대 대학
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론-----------------------------------
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제조기술 11 2.2.2.2 LED 광원(전구) 제조기술 12 2.2.2.3 LED 구동회로 제작기술 16 2.2.2.4 LED 응용분야 21 2.2.3 LED 시장 동향 24 2.2.3.1 국내시장 24 2.2.3.2 해외시장 25 2.2.3.3 기업동향 26 2.3 LED의 발전가능성 29 제 3 장 결 론 31 參考文獻
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 다음
top