• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 562건

▶ 필터와 주파수 특성 범위 신호 해석 - RLC 소자의 전기적 특성에 따른 해석 : 선형, 미분 또는 적분 - 교류 또는 주파수 영역 해석 : 미분과 적분 또는 미분방정식 등의 시간영역에서 직접 해석하지 않고 복소함수 등을 이용하는 방식 - 주
  • 페이지 30페이지
  • 가격 5,000원
  • 등록일 2016.12.31
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
MATLAB(매트랩 소개) A-2 Running Matlab(매트랩 실행) A.3 Variables(변수) A.4 Output Format (출력 형식) A.5 Character String (문자열) A.6 Vector Operations (벡터 연산) A.7 Elementary Matrix Operations (기본적인 행렬 연산) A.7.1 Utility matrices (유틸리티 행렬) A.7.2 Eigenval
  • 페이지 11페이지
  • 가격 6,300원
  • 등록일 2015.07.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
할 때 사용하는 명령문 while 조건문 : 종료 조건이 일치하게 되면 반복을 마치게 된다. 1. MATLAB의 기본 언어와 실행 2. 화면 출력서식 3. 벡터연산자와 배열 4. 행렬 연산 5. 복소수 6. 다항식 7. 그래프 8. 순환문, 조건문, 논리 연산자
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2015.12.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
- 중간고사 진행 전에 진행하였던 주제 발표 자료에서 LPF(Low Pass Filter)를 진행하였는데, 이번 GUI 프로젝트를 진행하게 되면서, LPF만이 아닌 HPF(High Pass Filter), BPF(Band Pass Filter)를 수행할 수 있는 스마트 필터를 만들면 편리하겠다는 생각이 들었
  • 페이지 17페이지
  • 가격 5,000원
  • 등록일 2016.12.22
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
■ Matlab 소스 ■ Matlab 결과 1. 매트랩 소스 2. 결과 이미지(이미지 LP필터링, 입펄스잡음영상, 미디언필터링영상, 이미지의 히스토그램, 히스토그램평활화, 평활화 이미지 출력)
  • 페이지 2페이지
  • 가격 2,000원
  • 등록일 2011.01.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 4건

MATLAB 출력 신호 확인 코드 : 이펙터의 여러 종류 중 Fuzz Face란 이름을 가진 Fuzz를 예를 들었다. 다른 이펙터 소리 파일들의 출력을 듣거나 출력 신호를 보기 위해서는 파일명만 바꾸어주면 간단하게 확인할 수 있다. 코드에서 Fuzz의 게인을 Low, M
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
샘플링데이타의 차이가 있었다. 이에 좀더 일반성을 갖는 결과를 확인 하기 위하여 Matlab/Simlink 시뮬레이션의 샘플링 타임을 100ms과 10ms으로 변화시켜 시뮬레이션 결과 그래프와 확인해 보았다. 샘플링이 변화된 Matlab/Simlink 시뮬레이션과 하이
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
MATLAB Simulink를 이용하여 구현한 Block Diagram ○ 제어기의 선정과 응답 확인 위치제어기 - P제어기 사용 - 과도상태 특성과 정상상태 특성의 영향을 고려한 적절한 값 설정 - Damping ratio = 1.5로 선정 속도제어기 - PI제어기 사용 - 과도상태 특성과
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
/No 2(dB)의 BER/에러 개수> 다) Eb/No 3(dB) : 에러 개수 25 <그림4-8. Eb/No 3(dB)의 BER/에러 개수> 라) Eb/No 4(dB) : 에러 개수 15 <그림4-9. Eb/No 4(dB)의 BER/에러 개수> Eb/No값이 늘어남에 따라 BER와 에러 개수가 감소하는 것을 볼 수 있다. 4장. 3절 하
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 18건

og, Pspice, Workbench, 소자 simulation 소프트웨어인 MEDICI 등 다양한 software를 통한 실무위주의 능력을 배양하였습니다. 최근에는 JPEG 알고리즘을 c언어나 matlab이 아닌 verilogHDL를 통한 구현 방법과 microstrip을 이용한 RF소자를 논문에 나와 있는 방법으
  • 가격 3,000원
  • 등록일 2007.06.29
  • 파일종류 한글(hwp)
  • 직종구분 전문직
Matlab, Winspice를 이용한 회로해석과 프로그래밍도 가능합니다. 그리고 전공은 아니지만 중국문화 및 중국어의 기초에 대한 공부가 별도로 되어있습니다. ○○○○고등학교 교사는 크게 세 가지 기질을 가져야 한다고 했습니다. 전공기술분야
  • 가격 500원
  • 등록일 2009.01.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
Matlab, R)의 활용도 많이 해왔습니다. ▶ 분석적 능력 석사과정에서 재무전공을 하면서 수많은 재무, 회계 데이터들의 분석과 평가 및 통계 처리 등으로 저의 분석적 능력은 타 지원자에 대비해 경쟁력이 될 수 있다고 생각합니다. 교내 금융경
  • 가격 1,200원
  • 등록일 2009.03.16
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
인지심리학과 실험심리학을 독학하는 중입니다. 얼마 전부터는 matlab을 연습하고 있습니다. 진학동기/목표 심리대학원을 지원한 동기는 ‘언어구조’에 대한 호기심에서 비롯되었습니다. 학문적 성향 진학동기/목표 연구계획 기타
  • 가격 1,000원
  • 등록일 2009.07.02
  • 파일종류 워드(doc)
  • 직종구분 기타
Matlab 등의 프로그래밍과 tool을 연습하고 있습니다. 기술이 뛰어날뿐 아니라 사람의 필요와 편의를 생각한 제품은 사람들의 마음을 차지한다고 생각합니다. 저는 이러한 제품을 통해서 단순히 성공한 사람이 아니라 사람들에게 진정한 편리
  • 가격 1,000원
  • 등록일 2010.02.17
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top