• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 170건

회로도가 지금까지 짜여진 VHDL 코딩에서 얻어낸 심볼로 "lastalu"를 설계한 회로도 이다. 결론 - 이번 연산은 산술뿐만 아니라 논리 연산까지 수행하는 4 bit ALU를 설계를 하였다. 스키메틱이 아닌 VHDL의 코딩으로 회로를 설계 하였는데, 처음 과제
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL코딩을 중심으로), 이강현, 대영사 컴퓨터 구조원리, Miles J.Murodocca 외, 피어슨에듀케이션코리아 전자계산기 일반 및 컴퓨터구조, 이재수 외, 한올 병 렬 처 리 컴 퓨 터 의 개 념 ▲ 병렬처리(Parallel Processing) ▪▪▪▪τ
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2010.01.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
산술 연산 장치 가) 내부는 기본적으로 전 가산기로 구성되어 있으며, 이를 이용하여 가산 및 감산을 수행함. 나) 산술 연산 장치 구성 : 전 가산기 회로를 이용한 병렬 가산기로 구성됨, 다) 다음 7가지의 산술연산이 가능하다고 가정한다. (a)
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2012.04.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
산술논리연산회로(1) …………………………… 35 실험  6. 산술논리연산회로(2) …………………………… 35  1. 실험 목적 …………………………… 35  2. 기초 이론 …………………………… 35  3. 예비 보고서 …………………………… 4
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
반가산기 : 2진수 덧셈에서 두 개의 비트 A와 B를 더한 합 S와 자리올림(carry) C0를 출력하는 조합회로이다. 전가산기 : 두 개의 비트 A, B와 밑자리로부터의 자리올림 C1을 더한 합 S와 윗자리로의 자리올림 C0를 출력하는 조합회로이다. 가산
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2013.10.28
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 3건

회로에 관한 세미나를 하면서 순차회로를 처음 접하게 되었을 때 그리고 VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로 프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로이론, 전자기학, 자료구조 등의 실제 전자공학 관련이론들이며 이런 이론들을 열심히 공부하여 컴퓨터 프로그램 및 데이터통신과 접목시키는 분야를 응용, 발전시키고자 합니다. 이를 바탕으로 독창적 이론으로 석사논문과 나아가 박사
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top