• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 67건

개발이 시급하다. 넷째, 골프를 즐기는 골퍼들의 인식이 바뀌어야 한다. 과거에는 골프를 일부 고위층과 부유층만이 독점적으로 향유했었다. 우리 골프의 이런 역사적인 특징 때문인지 여전히 골프를 과시적인 수단으로 삼는 골퍼들이 있다.
  • 페이지 14페이지
  • 가격 3,000원
  • 발행일 2010.07.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
sw.or.kr (한국소프트웨어산업협회 데이터베이스) www.kisd..re.kr (정보통신정책연구원) www.mic.go.kr (정보통신부) www.spc.or.kr (한국소프트웨어저작권협회) www.sw.or.kr (한국소프트웨어산업협회) 제1장 서론 제1절 연구의 배경 및 목적 제2절 연
  • 페이지 19페이지
  • 가격 4,000원
  • 발행일 2005.03.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
개발연구 및 사면통합관리 시템개발 7. 이수곤, 1995, 암반 절취사면의조사,설계감리를 위한 합리적인 기준 설정 방안,한국지반공학회 사면안정에 관한 학술 발표집 8. 한국시설안전기술공단, 2009, 건설공사 비탈면 설계기준(건설교통부 제정)
  • 페이지 80페이지
  • 가격 1,500원
  • 발행일 2013.04.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
개발방안”, 한국관광연구원, 1999 안종윤, 관광정책론, 박영사, 1997 이성은, “제주관광의 지속가능성 평가에 관한 연구”, 제주대학교 대학원, 2001 이성하, “지속가능한 생태관광지 개발모델 연구”, 한양대학교 공학대학원, 2006 이종철, “
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2008.03.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
개발에 관한 연구”, 대한산업공학회, 1999. [22] 고시근, 윤훈용, “제약이론에서 제약버퍼의 크기 결정”, IE Interfaces, Vol.14, No.4, pp.334-340, 2001. [23] 한영근, 김연균, “인터넷을 통한 주문생산환경에서 실시간 생산 DBR스케줄링” , IE Interfaces, Vol.
  • 페이지 31페이지
  • 가격 25,000원
  • 발행일 2014.11.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
개발", pp.1~3, pp.37~50, 2002 인하대학교 (2)김성구, 김민수,"이산화탄소를 냉매로 사용하는 오토캐스케이드 냉동기의 성 능에 관한 해석 및 실험", pp.1~2, 2001 서울대학교 기계항공학부 (3) 장홍기, 오존층 파괴, pp.2~3, 2002 창원대학교 환경공학과 (4)A
  • 페이지 54페이지
  • 가격 3,000원
  • 발행일 2010.02.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
개발유형 제3절 실버타운의 입지조건과 대상 및 설치기준 제3장 선진국의 실버타운 사례 분석 제1절 미국의 실버타운 사례 제2절 일본의 실버타운 사례 제4장 우리나라 실버타운의 현황 및 문제점 제1절 우리나라 실버타운의 현황 제2
  • 페이지 33페이지
  • 가격 3,500원
  • 발행일 2012.03.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
, 신재영(2002), 서울시내를 운행중인 지하철 소음ㆍ진동특성에 관한 연구, 대한환경공학회, Vol.24, No.7. 7. 김태호, 원제무, 배현웅, 김경도(2005), 지하철의 기하구조와 운영요소가 소음에 미치는 영향에 관한 연구, 국토계획. Vol.40, No.3. 
  • 페이지 8페이지
  • 가격 3,000원
  • 발행일 2010.07.27
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학학회지 7권 제 3호, 1997, pp 387~392 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학과 네트워크 연구실(2005), "OPNET 9.1, Computer Networks- A Systems Approach 3rd Ed, Lab Manual " Ⅰ 서론 1. 서론 2. 멀티미디어 1) 멀티미디어의 정의 2) 미디어의 분류 3) 멀티미디어의 특성 4) 멀티미디어에 대한 2가지 개념
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 3 4 5 6 7 다음
top