본문내용
산기+감산기회로
- 자기보수코드
- BCD코드에 2진수의 3을 더함
- BCD코드 + 0011 ▶ 3초과 코드
- 예1) 0000 + 0011 => 0011
- 예2) 0001 + 0011 => 0100
2)출력 함수식 간력화(카르노도 이용)
BCD-to-Excess3 코드변환 회로
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity BCDexcess3 is
port (
bcd_0 : in std_logic;
bcd_1 : in std_logic;
bcd_2 : in std_logic;
bcd_3 : in std_logic;
ex_0 : out std_logic;
ex_1 : out std_logic;
ex_2 : out std_logic;
ex_3 : out std_logic);
end BCDexcess3;
architecture BCDexcess3 of BCDexcess3 is
signal temp_0 : std_logic;
signal temp_1 : std_logic;
signal temp_2 : std_logic;
signal temp_3 : std_logic;
signal temp_4 : std_logic;
begin
temp_0 <= bcd_2 and bcd_3;
temp_1 <= bcd_2 or bcd_3;
temp_2 <= (not temp_1) and bcd_1;
temp_3 <= temp_1 and (not bcd_1);
temp_4 <= temp_1 and bcd_1;
ex_0 <= temp_4 or bcd_1;
ex_1 <= temp_2 or temp_3;
ex_2 <= temp_0 or (not temp_1);
ex_3 <= not bcd_3;
end BCDexcess3;
3)회로도 작성
BCD 코드의 3증코드 변환을 위한 회로도
2. 2진 코드-그레이 코드변환기
1)2진 코드-그레이 코드변환기
-연속된 두 코드 중 오직 한 비트만 변화 하는 것
-연속적인 변화량을 디지털 정보로 변환하는 경우에 발생하는 에러를 쉽게 확인
-언웨이티드 코드
-연산에 부적합
-데이터전송, 입출력장치
-아날로그-디지털 변환기, 다른 주변장치
2)출력 함수식 간력화(카르노도 이용)
3)회로도 작성
3. 그레이-2진 코드 코드변환기
1)출력 함수식 간력화(카르노도 이용)
2)회로도 작성
3)그레이-2진 코드 코드변환회로
- 자기보수코드
- BCD코드에 2진수의 3을 더함
- BCD코드 + 0011 ▶ 3초과 코드
- 예1) 0000 + 0011 => 0011
- 예2) 0001 + 0011 => 0100
2)출력 함수식 간력화(카르노도 이용)
BCD-to-Excess3 코드변환 회로
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity BCDexcess3 is
port (
bcd_0 : in std_logic;
bcd_1 : in std_logic;
bcd_2 : in std_logic;
bcd_3 : in std_logic;
ex_0 : out std_logic;
ex_1 : out std_logic;
ex_2 : out std_logic;
ex_3 : out std_logic);
end BCDexcess3;
architecture BCDexcess3 of BCDexcess3 is
signal temp_0 : std_logic;
signal temp_1 : std_logic;
signal temp_2 : std_logic;
signal temp_3 : std_logic;
signal temp_4 : std_logic;
begin
temp_0 <= bcd_2 and bcd_3;
temp_1 <= bcd_2 or bcd_3;
temp_2 <= (not temp_1) and bcd_1;
temp_3 <= temp_1 and (not bcd_1);
temp_4 <= temp_1 and bcd_1;
ex_0 <= temp_4 or bcd_1;
ex_1 <= temp_2 or temp_3;
ex_2 <= temp_0 or (not temp_1);
ex_3 <= not bcd_3;
end BCDexcess3;
3)회로도 작성
BCD 코드의 3증코드 변환을 위한 회로도
2. 2진 코드-그레이 코드변환기
1)2진 코드-그레이 코드변환기
-연속된 두 코드 중 오직 한 비트만 변화 하는 것
-연속적인 변화량을 디지털 정보로 변환하는 경우에 발생하는 에러를 쉽게 확인
-언웨이티드 코드
-연산에 부적합
-데이터전송, 입출력장치
-아날로그-디지털 변환기, 다른 주변장치
2)출력 함수식 간력화(카르노도 이용)
3)회로도 작성
3. 그레이-2진 코드 코드변환기
1)출력 함수식 간력화(카르노도 이용)
2)회로도 작성
3)그레이-2진 코드 코드변환회로
소개글