• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 71건

2.개요 2.1 작품 개요 한 학기 동안 HDL을 실습하면서 여러 가지 사용법 및 제어 기술들을 배우게 되었습니다. 이번 학기 프로젝트로는 자판기를 선택하였습니다. 제작된 자판기는 커피, 코카콜라, 오렌지 주스 그리고 녹차를 판매하게 됩니
  • 페이지 20페이지
  • 가격 8,000원
  • 등록일 2012.02.27
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
자판기 회로 ASM 차트...........................................8 3.4 자판기 회로 진리표.............................................9 3.5 자판기 회로 회도로(블록도)......................................16 3.6 자판기 회로 Verilog HDL 코드.....................................17 3.7
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
(Overall Description) 2.1 프로젝트 제약조건(Projuct Constraints) 2.2 기능 기술(Functional Description) 3. 정보 기술(Information Description) 4. 사용자 메뉴얼(User Manual) 5. 검증 기준(Validtion Criteria) 6. 기능이 아닌 요구사항(Nonfunctional Requirements) 등
  • 페이지 9페이지
  • 가격 1,500원
  • 등록일 2010.03.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자판기 개발에 참여하여 원두캡슐 자판기 시장을 선점할 필요성 제기 됨. 2. U-VENDING(ubiquitous vending machine) 유벤딩 소개 - 유벤딩이란 ‘ubiquitous vending machine’의 약칭. - 기존 자판기에 벤딩솔루션·터치스크린·네트워크 기능을 더한 최첨단 자
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2011.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vending is port( clk, reset : in std_logic; coin_10, coin_50, coin_100, coin_500 : in std_logic; button_coffee, button_tea, button_orange, button_cok
  • 페이지 1페이지
  • 가격 3,000원
  • 등록일 2011.08.29
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Project를 수행하면서 VHDL을 통한 하드웨어 구현을 통해 기존의 인식을 바꾸는 계기가 되었습니다. 하드웨어를 설계하는 즉 회로도 설계가 PCB를 통한 기판에 설계하는 것이 다 인줄 알았습니다. 원하는 기능을 하는 부품이 시중에 없을 때 자신
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
처음에 자판기 메뉴얼이 나오고 돈을 넣으면 잔돈 반환이랑 남은수량도 체크하게끔 프로그램을 구현 하였습니다. 또 주문이끝나면 반복문을 이용하여 다시 메뉴얼 나오도록 구현 하였습니다. 1.소스코드 2.출력결과 3.소스코드 캡
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2017.11.25
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시스템 분석및 설계시간에 term project입니다. 전 간단하게 자판기로 DFD와 미니명세서 그리고 데이터 사전을 만들어 보았습니다. 구현은 MFC를 사용하였고 윈도우 환면으로 Interface를 설계하였습니다. 필요하신분은 맘껏 사용하셔도 좋습니다.
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2005.04.14
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.실험 설계 목적 논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될 수 있는 제품을 설계 • 제작한다. 2.실험 설계 주제 자판기 회로 설계 • 제작 3.제품 사양 A.100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2010.04.04
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이전 1 2 3 4 5 6 7 8 다음
top