• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 793건

권리관계 사항 5. 공법상 이용제한 및 거래규제에 관한 사항 6. 조세에 관한 개략적 사항 7. 거래예상가격 조사․확인 8. 기타 미공시 중요시설․물건의 소유에 관한 사항 조사․확인 [4] 중개대상물 확인설명서 작성실무
  • 페이지 34페이지
  • 가격 4,000원
  • 발행일 2010.07.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2004), 미국의 대한반도 군사정책결정과정 분석 -엘리슨의 모형을 적용한 한국전 쟁 시기별 비교분석, 「한국정책학회보」, 13(3):233-258. 모창환, (2005), 한국철도산업구조개혁의 정책결정분석 -쓰레기통 모형의 적용과 이론적 시사점, 「한국정
  • 페이지 16페이지
  • 가격 3,500원
  • 발행일 2009.06.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
관리제(MBO) 도입 - 이론조명과 현실적 문제점」, 한국행정논집 제12권 제3호, 2000. 남궁근, (2003)『행정조사방법론 』서울 : 법문사. 박호환,박종영(1998) “인사고과제도의 특성과 공정성 지각 간의 관계” 「산업관계연구」 8: 299-322 서남진. (2000
  • 페이지 10페이지
  • 가격 1,700원
  • 발행일 2008.08.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2. 이스라엘 3. 영국 4. 이탈리아 5. 미국 6. 일본 Ⅴ. 농촌체험관광 활성화 방안 1. 마을 입지 특성에 맞는 소재의 발굴 2. 수요자에 적합한 체험프로그램 개발 3. 인력과 조직의 관리・운영 체계화 4. 정책지원과 법제도 요건 구비 5.
  • 페이지 24페이지
  • 가격 3,500원
  • 발행일 2012.06.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2002 김병덕, 『금융환경변화에 관련한 새마을금고 대응전략』, 새마을금고연합회, 2001. 김영찬, “금융환경변화에 따른 서민금융기관의 발전방안”, 홍익대학교 세무대학원 석사학위논문, 2002 김욱중, 『우리나라 은행산업의 집중도 변화 분
  • 페이지 21페이지
  • 가격 3,000원
  • 발행일 2006.10.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2010), “재가노인과 시설노인의 분노표현과 자살생각 비교”, 경북대학교 과학기술대학원 석사학위논문. 제1장 서론 제2장 이론적 배경 제1절 재가노인복지의 개념 제2절 재가노인복지사업의 필요성 제3절 재가노인복지사업의 배경
  • 페이지 25페이지
  • 가격 3,500원
  • 발행일 2012.06.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
환경친화적 자전거문화 정착 연구”, 환경부, 2007 허정숙, “자전거 이용 활성화 방안에 관한 연구 -영천시를 사례로-”, 영남대학교 산업대학원, 석사학위논문, 2007 Ⅰ. 서론 Ⅱ. 이론적 고찰 1. 환경친화적 자전거 교통의 개념 2. 자전
  • 페이지 22페이지
  • 가격 3,500원
  • 발행일 2012.06.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기독교교육 리더십 독서보고서 2강 
  • 페이지 1페이지
  • 가격 500원
  • 발행일 2011.04.17
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
리더십 이론 14 2.현대적 리더십 이론 19 제 3절 행정적 리더십의 결정요인 20 제 3장 인물로 알아본 리더십의 종류와 비교 제 1 절 역사적 인물들의 리더십 1. 세종대왕의 창조적 리더십 20 2. 이순신 장군의 위기관리 리더십 21 3. 장
  • 페이지 36페이지
  • 가격 3,000원
  • 발행일 2008.12.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2. 이병길(1994), “리더십유형이 직무만족 및 성과에 미치는 영향\". 박사학위 논문, 청주대학교. 13. 유승동(2001), “변혁적리더십이 부하의 조직시민행동에 미치는 효과 및 개인특성의 조절효과에 관한 연구\" 인사관리연구. 서론, 본론,
  • 페이지 4페이지
  • 가격 500원
  • 발행일 2023.04.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top