• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 6건

가능합니다. 압축파일에는 회로도, 소스파일들이 들어가 있습니다. 기타 문의는 http://cafe.naver.com/suwonlion 에 남겨주시면 답변 드리겠습니다. 이곳에 동작하는 동영상이 있습니다. 사진도 있습니다. http://cafe.naver.com/suwonlion/7
  • 페이지 10페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
파일에는 랩뷰소스파일과 실행파일, 마이컴부분 소스파일이 포함되어 있습니다. 회로도는 첨부된 보고서에 작성되어 있습니다. 목 차 1. 개발개요 2. 주차장 관리 시스템 설계내용 2.1 하드웨어 구성 2.1.1 전원부 2.1.2 센서
  • 페이지 20페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
OFDM Modulation/Demodulation, Channel Coding/Decoding 등이 포함된 시뮬레이션용 C++언어 소스코드입니다. Visual Studio 6.0 기반으로 만들었습니다. 
  • 페이지 41페이지
  • 가격 8,000원
  • 발행일 2009.06.08
  • 파일종류 압축파일
  • 발행기관
  • 저자
비주얼 베이직 6.0," 무역경영사, 2001. 4. [2] 황재선, 고은진 "Visual Basic 6" (주)사이버출판사, 2000. 11. [3] 정진호, "예제로 싶게 배우는 비주얼베이직6", 한컴프레스, 2000. 8. [4] 장중한, "비주얼 베이직 프로젝트 따라하기", 구민사, 2001. 1. [5] VB 사이
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2008.10.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
소스 코딩을 할 때 영상을 저장할 배열을 동적 할당하였는데, 메모리에서 충돌이 일어나서인지 176*144의 그림안 에 남자의 턱이 두 개로 나타났다. 하지만 정적할당으로 바꾸면서 원하는 그림을 얻을 수 있었 고, 첫 번째의 (130, 72)좌표 8*8블럭
  • 페이지 16페이지
  • 가격 2,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 다음
top