• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 365건

분석하였다. 또한“L-pile" 프로그램을 이용하여 수치해석을 수행하여 모형실험 결과와 비교·분석 및 수평하중에 따른 단일콘크리트 말뚝의 거동특성을 분석하여 다음과 같은 결론을 얻었다. 1. 모형실험 결과 근입깊이 1D 조건에서 항복하중
  • 페이지 57페이지
  • 가격 5,000원
  • 발행일 2010.12.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
수정보완연구(제5판). 14. 도로교통법 시행규칙(별표 1), 행정안전부령 제116호 일부개정, 2009. 11. 27. 15. R. Lane, D.H. Hodgkinson, M. Sc(1972) , Evaluating a Bus Segeregation Network in Central London, TRRL Report LR 570. 16. http://www.Roadi.com 17. http://www.google.com 
  • 페이지 14페이지
  • 가격 3,000원
  • 발행일 2010.09.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석법…………………22  4. 비교 분석법……………………………23 Ⅳ. 분석 결과 및 논의  1. 한국 스포츠 신문의 위기……………22  (1) 공급과잉………………………………22  (2) 신뢰성…………………………………22  (3) 선정성
  • 페이지 33페이지
  • 가격 5,000원
  • 발행일 2013.05.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석 1. 부동산투자와 위험 2. 부동산 투자위험의 유형 3. 부동산투자와 수익률 4. 투자가치와 시장가치 5. 위험과 수익과의 관계 [4] 부동산 투자분석을 위한 수학적 기초 1. 화폐의 시간가치(Time Value of Money) 2. 현금수지 측정
  • 페이지 22페이지
  • 가격 3,000원
  • 발행일 2010.07.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
특성과 과제, 서울대학교 출판부, 1984. 오용규 역, 실증적 회계이론, 형설 출판사, 1988. 이종원 외, 한국 경제의 발전과정과 미래, 해남, 1998. 이효익, 현대회계감사론, 무역경영사, 1993. 임석식, "금융위기 이후 한국회계기준의 과제와 발전방향
  • 페이지 17페이지
  • 가격 3,300원
  • 발행일 2008.10.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
특성 2. 조손가정 가정건강성의 특성 Ⅲ. 조손가정 현황 및 지원정책 분석 1. 조손가정 현황 2. 조손가정을 위한 지원정책 분석 Ⅳ. 조손가정을 위한 정책 지원방안 1. 조손가정 지원을 위한 법적 근거 마련 2. 조손가족 지원정책의 패러
  • 페이지 22페이지
  • 가격 3,500원
  • 발행일 2012.06.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석을 중심으로 」 이의경(2004), 「휴대폰 소액결제시스템 수용에 관한 연구」 관련서적 『경영과 컴퓨터』 2007년 2월호 제1장 서론 제1절 분석의 필요성 제2절 분석의 목적 제3절 분석 산업의 배경 1. 결제 서비스의 종류 및
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석  2.2 2.4GHZ 무선랜(WLAN) 시스템에 대한 UWB 간섭도 영향   2.2.1 측정 셋업   2.2.2 측정 환경   2.2.3 측정 결과 3. 초광대역 시스템의 실내 채널 특성  3.1 실내 채널 소개  3.2 초광대역 신호 측정 셋업  3.3 기술적 미세 보
  • 페이지 68페이지
  • 가격 10,000원
  • 발행일 2014.12.02
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
특성, 지향성에 따라 다양한 유형이 있으며, 경제적, 사회문화적, 정치적, 교육적 효과가 있다. 국내외 성공적인 지역축제 사례를 분석한 결과, 시사점으로는 해외 축제는 다양한 홍보방법을 사용하고 있고, 다양한 주제를 선정하고 있다. 그
  • 페이지 26페이지
  • 가격 3,500원
  • 발행일 2012.03.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
석사학위 논문, 2004. 10 제1장서 론 제 1절연구의 목적 제 2절연구의 범위 및 방법 제2장본 론 제 1절이론적 배경 제 2절한국 정책사례의 유형화와 갈등양상 제 3절정리 및 분석 제3장결론 제 1절결론 및 연구한계 참고문헌
  • 페이지 20페이지
  • 가격 2,500원
  • 발행일 2008.01.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top