• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 170건

회로 위와 같은 회로에서 각 노드 사이의 전압을 계산하면, 다음의 그림과 같은 결과가 얻어진다. 이것은 옴의 법칙을 이용한 것으로서, 직렬회로에서는 전류가 일정하게 되고, 전압 분배 법칙에 따라 저항의 크기 비에 따라 전압이 결정됨을
  • 페이지 12페이지
  • 가격 1,000원
  • 등록일 2008.12.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
vhdl이라는 것이 너무나도 생소하였고, model sim이나 xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리를 조금이나마 알게 되었고 그 결과, 이번
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
; --00000111 출력 elsif Data_in = 8 then --8이 입력되면 Data_out <= "01111111" ; --01111111 출력 else -- 그 외의 경우는 Data_out <= "01100111" ; --01100111 출력 end if; end process; end beha; ●시계회로 시계회로 BCD_TO_FDATA 멀티플렉서6X1 FND_SCAN WATCH 1초 생성기
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2009.05.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로를 구성하기 위해 몇 개의 트랜지스트가 필요한가? =>옆의 회로을 표준형의 AND,OR.NOT 게이트로 그리면 아래 와 같다.그리고아래의 트랜지스트의개수는 모두18개이다. 3.10 논리함수 f(X1X2X3X4)=m(0, 1, 2, 3, 6, 8, 9, 10)에 대해 CMOS 복합 게이트를
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2004.11.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
조사하고, 만일 오류가 발생하였다면 수정하세요 1. 디지털 논리회로를 기능적인 측면에서 보면 2개의 논리회로로 구분할 수 있다. 이 2개의 논리회로에 대해 각각 설명하세요 2. 디지털 논리회로의 기능 중 산술연산에 대해 설명하세요
  • 페이지 2페이지
  • 가격 2,000원
  • 등록일 2012.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로가 같은 타이밍을 갖기 위해서 두 번째 회 로에 어떤 변화를 주어야 하는지 설명하시오. ★ 2 장 연 습 문 제 ★ 2.1 동시 진행문을 사용하여 다음의 조합회로의 VHDL 기술을 쓰시오. 각각의 5ns 의 지연 을 갖고 인버터는 2ns의 지연을 갖는다.
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2006.11.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
s begin process(a, b, s) begin if ( s = '0') then y <= a; else y <= b; end if; end process; end rtl; 이것이 VHDL의 장점입니다. VHDL을 이렇게 보시면 됩니다. 회로 설계를 할 수 있는 표준 언어다. 모든 것은 장점과 단점을 가지고 있습니다. 단점에 비해 많은 장
  • 페이지 4페이지
  • 가격 500원
  • 등록일 2003.10.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로를 VHDL로 설계하시오. VHDL 코드로 설계하면 다음과 같다. 계속 이어집니다. 입력 x가 1이고 클록이 활성화되는 시점에서 00 → 01 → 10 → 11로 변화되는데, 4개의 상태로 변화가 계속 반복된다. 출력 Q의 경우 입력 x가 1이고 상태(state)가 11 에
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2011.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL을 이용하여 소스를 코딩한다. 역시 컴파일과 타겟보드로 다운로드 후 관찰한다. ·실험에 관한 고찰 이번시간에는 전가산기에 대해서 실습을 하였다. 저번에는 소스코딩만 하여 실험하였는데 이 번실험 시간에는 회로도와 소스 두 가지
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.06.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Design Automation 컴퓨터 기술의 발달 처리 속도, 저장 용량, GUI의 성능 향상 집적회로 설계 기술의 발달 설계 기술의 축적, 설계 도구의 발달 설계자의 작업 및 결정을 대신하고 검증을 지원. Definition of VHDL VHDL is an international IEEE standard
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top