• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 778건

SW인식에 미치는 영향, 김지은, 수원 : 아주대학교 교육대학원 : 아주대학교, 2018 역량기반 SW교육 모델 설계 및 적용, 김보리, 서울 : 서울교육대학교 교육전문대학원, 2017 sw사고기법 3. 분해, 패턴인식, 추상화의 개념에 대해 정리 분해, 패
  • 페이지 5페이지
  • 가격 4,800원
  • 등록일 2021.10.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
개 요 ? 정 의 - SW개발의 생산성과 유지보수 향상 - 소스, 모델의 생성, 재생성을 도구에 의해 자동화하는 접근기법 개 요 ? 필요성 - SW 생산성 향상, 유지보수비용 절감 - SW 개발 전 단계 형상의 일관된 유지 - SW 개발 단계의 변경반영
  • 페이지 23페이지
  • 가격 2,000원
  • 등록일 2021.02.18
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
SW 통합설계를 위한 SpecCharts의 변환 기법, 정보통신연구소논문지, 5권, 1호 이상정(2002) - GPS 기술발전 점검, 충남대학교 전자공학과교수, 국토연구원 GPS 대체 위성항법시스템 구축의 경제성 분석에 관한 연구(1999) - 중간연구보고서, 정보통신대
  • 페이지 9페이지
  • 가격 5,000원
  • 등록일 2009.03.18
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
SW 사고기법의 학습은 점차 중요성이 높아질 것이다. Ⅳ.참고문헌 [IT강의실] 인류의 삶을 바꾼 위대한 기계 - 컴퓨터, 이상우, IT동아, 2015 컴퓨팅 사고력 신장을 위한 프로그래밍 중심 STEAM 교육 프로그램, 김태훈, 제주 : 제주대학교, 2015 컴퓨팅
  • 페이지 5페이지
  • 가격 4,800원
  • 등록일 2021.10.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
 sw사고기법 1. 4차 산업혁명의 배경 및 의미에 대하여 요약 #생각해 볼 문제 4차 산업혁명 후 우리가 살아남기 위해 어떻게 해야 하는가에 대한 다음 질문들에 대한 생각을 정리 기계가 할수 없는 것은 무엇인가? 내가 하는 것을 어떻게
  • 페이지 5페이지
  • 가격 4,800원
  • 등록일 2021.10.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 5건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
SW(Sand Wedge)는 벙커(모래로 이루어진)에서 벙커를 빠져 나오기 위하여 만들어진 웨지이고 PW(Piching Wedge)는 그린주변에서 그린을 공략할 때 쓰인다. 웨지의 종류 명칭 로프트 평균 비거리 PW 피칭웨지(Piching Wedge) 46도 110m AW 어프러지웨지(Approach
  • 페이지 14페이지
  • 가격 3,000원
  • 발행일 2010.07.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
SW, Grant JE. The Psychopharmacology of patholoical gambling. Seminar in Clinical Neuropsychiatry pp184∼194, 2001. Kim SW. Opioid antagonisrs in the treatment of impulse-control disorder. J Clin Psychiatry pp159∼164, 1996. Ladouceur et al, Cognitive treatment of pathological gamblers, Behavior Resea
  • 페이지 42페이지
  • 가격 4,000원
  • 발행일 2004.06.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
sw.or.kr (한국소프트웨어산업협회 데이터베이스) www.kisd..re.kr (정보통신정책연구원) www.mic.go.kr (정보통신부) www.spc.or.kr (한국소프트웨어저작권협회) www.sw.or.kr (한국소프트웨어산업협회) 제1장 서론 제1절 연구의 배경 및 목적 제2절 연
  • 페이지 19페이지
  • 가격 4,000원
  • 발행일 2005.03.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
SW 4) IP전화서비스 5) 인터넷서비스 다. 정보통신사업자 동향 1) 차이나텔레콤(중국전신, China Telecom) 2) 차이나유니콤(중국연합통신, China Unicom) 3) 차이나모바일(China Mobile) 4) 기타 5. 아․태 지역 가. 정보통신정책 동향 1) 캐나다 2)
  • 페이지 155페이지
  • 가격 3,000원
  • 발행일 2009.01.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 117건

고자 하는 분야는 SW개발 및 기술지원 분야입니다. 정보통신학과를 졸업하여 MES/DAS를 구축, 운영은 물론이고 프로그래밍과 데이터베이스, 임베이드SW까지 여러 분야에 대한 지식을 가지고 인턴 사원으로 영광에서 T-60수출기 데이터 전송 및 기
  • 가격 2,800원
  • 등록일 2014.08.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
주시기 바랍니다. 4. 희망업무/장래포부 귀사 SW유지보수직무를 희망합니다. 컴퓨터 공학시절부터 저에게 있어 언제나 SW유지보수 직무는 동경의 대상이였습니다. 특히 모든 일들을 시작하기전 엄격히 구분 판단하여 된다고 확신이서면 한번
  • 가격 1,800원
  • 등록일 2013.11.08
  • 파일종류 한글(hwp)
  • 직종구분 전문직
주시기 바랍니다. 4. 희망업무/장래포부 귀사 SW유지보수직무를 희망합니다. 컴퓨터 공학시절부터 저에게 있어 언제나 SW유지보수 직무는 동경의 대상이였습니다. 특히 모든 일들을 시작하기전 엄격히 구분 판단하여 된다고 확신이서면 한번
  • 가격 1,800원
  • 등록일 2013.11.15
  • 파일종류 한글(hwp)
  • 직종구분 전문직
1. 지원한 분야와 관련된 본인의 역량(지식/Skill/경험 등)과 열정, 노력(프로젝트, 공모전, 대외활동, 논문 등)에 대해 기술해 주십시오. (최대 800자 입력가능) SW개발 업무를 수행하기 위해 필요한 것은 SW개발에 대한 전문성 그리고 소통 역량이
  • 가격 4,000원
  • 등록일 2024.03.20
  • 파일종류 워드(doc)
  • 직종구분 산업, 과학, 기술직
SW엔지니어로서 업무를 수행하기 위해 필요한 것은 SW개발에 대한 전문성 그리고 소통 역량이라 생각합니다. 저는 교내 설계 프로젝트, 공모전, 창의융합캠프, 현장실습 등의 과정을 통해 설계에 대한 전문성을 높이고, CATIA 교육, CAD 교육을 통
  • 가격 4,000원
  • 등록일 2024.03.31
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신

서식 3건

top