• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 51건

0 0 1 1 : 네 번째 비트는 생성된 BCD코드의 세 번째 비트와 그레이 코드의 네 번째 비트를 Exclusive OR (같으면 0, 다르면 1)하여 생성한다. 따라서 결과는 1 ----------------------------------------------------------------- 그러므로 생성된 BCD 코드는 0011 이 되
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2006.04.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
그레이 코드 서로 이웃한 수끼리 1비트만 다르게 구성된 코드이다. 2진수를 그레이 코드로 변환하는 조합 논리 회로중 여기서 N은 10진수 0~15까지 이며 입력 a,b,c,d는 2진수이며 ,출력 ,x,y,z는 그레이 코드 이다. 2진수 -> 그레이 코드 변환 과
  • 페이지 50페이지
  • 가격 3,300원
  • 등록일 2008.04.23
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
코드의 3증코드 변환을 위한 회로도 2. 2진 코드-그레이 코드변환기 1)2진 코드-그레이 코드변환기 -연속된 두 코드 중 오직 한 비트만 변화 하는 것 -연속적인 변화량을 디지털 정보로 변환하는 경우에 발생하는 에러를 쉽게 확인 -언웨이티드
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.11.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
코드 - BCD코드에 2진수의 3을 더함 - BCD코드 + 0011 ▶ 3초과 코드 - 예1) 0000 + 0011 => 0011 - 예2) 0001 + 0011 => 0100 2)출력 함수식 간력화(카르노도 이용) 3)회로도 작성 BCD 코드의 3증코드 변환을 위한 회로도 2. 2진 코드-그레이 코드변환기 1)2진 코
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.06.03
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
코드의 결과 값이 0110을 경우 합의 결과가 9를 넘지 않으므로 0011을 빼야한다. 따라서 결과 값은 0011이 된다. 5 그레이코드의 2진수 변환 ① 2진 코드의 첫째 비트는 그레이코드의 첫째 비트와 같다. ② 새로 생긴 2진 비트와 그레이코드의 다음
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2007.08.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

그레이 화를 한 후 형태에 관한 정보를 유지하면서 자료의 양을 줄일 수 있는 에지(edge)를 추출한다. 이 후 추출된 에지 영상의 차로서 이동하는 보행자를 검출할 수 있었다. 그러나 잡음과 보행자간의 차 연산 후 값 차이가 크지 않아 경계 값
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2012.07.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top