• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 17,516건

실험 회로 5 - 74LS08 AND gate와 74LS04 NOT gate로 구성된 NAND와 74LS00 NAND gate와 비교하여 실험하라 ☞ 74LS08에서 AND되어 나온 출력을 다시 74LS04에서 NOT을하여 출력하여 NAND의 효과를 내는 회로이다. ☞ 입력이 (1,0)일때는 1이 (1,1)일때는 0이 나왔다. 이
  • 페이지 19페이지
  • 가격 1,000원
  • 등록일 2009.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험] 기본논리게이트 1. 목 적 2. 이 론 2.1 NOR 게이트 2.2 AND 게이트 2.3 OR 게이트 2.4 OR 게이트 2.5 NAND 게이트의 응용 2.6 NOR 게이트의 응용 2.7 NOR 게이트의 응용 2.8 XOR 게이트의 응용 3. 실험기구 4. 실험회로도 5. 실험절차 6. 참
  • 페이지 7페이지
  • 가격 1,800원
  • 등록일 2013.11.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
가 된다. 책 부울대수 정리11과 같다. 실험 1의 그림(c)는 회로상으로 병렬 상태이고 NOT가 두 번이므로 OR 게이트가 되는 것이다. 실험 1의 그림(d)는 그림(c)에서 NAND를 한번 더 연결 한것이므로 그림(c)가 OR게이트 였으므로 NOR 게이트가 되는 것이
  • 페이지 5페이지
  • 가격 3,300원
  • 등록일 2012.12.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
De-Morgan 의 정리라 하며, 이 두 식은 NAND 게이트 와 NOR 게이트의 응용 및 논리회로를 간소화시키는데 널리 이용될 수 있다. 2.1 NAND 게이트를 이용한 기본논리회로 [ 실 험 ] 1. 목적 2. 기본이론 - 불승산 - 불가산 - not 기능 3. 실험
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2003.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리이다. ④ XNOR은 XOR의 보수를 구할 수 있다. (∵ 왜냐하면 카르노 맵으로 표현 가능한 모든 논리회로는 NOR이나 NAND 만으로 표현 가능하기 때문이다. ) 부울대수의 정리 예비 보고서 1. 실험 목적 2. 기본 이론 3. 실험 방법 4.
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2015.02.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 62건

회로설계의 기초, 복두출판사 2001 p.56~p.57 Active Filter - 목 차 - 1. 서론 2. PLL / DLL의 기본개념과 동작원리 3. Phase Detector (위상검출기)의 기본개념과 동작원리 4. Loop Filter의 기본개념과 동작원리 5. Chage Pump (전하펌프
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
기본회로와 밴드이론에 의한 발광현상 .............. 11 [그림 2-4] LED DC current의 증가에 따른 광도(luminous intensity) . 12 [그림 2-5] 브리지 정류회로에서의 파형 변화 ..................... 13 [그림 3-1] AC to DC Converter의 회로도 .................. 14 [그림 3-2]
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험 5.1 조작부 회로기판 < LCD 부착 전 > < LCD 부착 후 > < 조작부 뒷 판 > [그림 5-1] 조작부 회로기판 5.2 동작부 회로기판 < 동작부 회로기판 앞 > < 동작부 회로기판 뒤 > [그림 5-2] 동작부 회로기판 앞뒤 5.3 동작부 몸통(회로
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
정속에어 회로의 기본구성 8 2.1.2.2 제어에 의한 정속제어회로 구성 10 2.1.2.3 PLL 제어에 의한 정속제어회로 11 2.1.2.4 서보기술 11 제 3 장 실 험(시뮬레이션) 13 3.1 13 3.2 14 제 4 장 결 론 15 參考文獻 16
  • 페이지 16페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 213건

회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
기본이다. 맞춤법과 띄어쓰기, 오자와 탈자가 있으면 당연히 감점 요인이 된다. 서론-합격 학업계획서의 공통점과 그 방법론에 대하여. 본론-실제 대학원 합격자들의 학업계획서와 그 분석. 결론-성공적인 학업계획서의 작성요령을
  • 가격 2,400원
  • 등록일 2007.10.19
  • 파일종류 한글(hwp)
  • 직종구분 기타
실험 진행 19/07~19/08 교외 포항공과대학교 인턴십 OOO 교수님의 OOO lab에서 인턴십 19/01~19/02 교내 이화여자대학교 인턴십 OOO 교수님의 Ewha Brain Institute에서 인턴십 19/06~19/10 교외 서울대학교 전국 대학생 생물학 심포지엄 노화 뇌에서 발생하는 세
  • 가격 2,300원
  • 등록일 2021.02.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top