• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,493건

및 동작설명(동작사진 포함) (1) 시뮬레이션 (2) 어셈블리 프로그램 구현(핀할당포함)  - LCD를 이용한 OPCODE 시뮬레이션  - 계산기(세그먼트, 도트매트릭스, LCD이용) 4. Instruction. 5. 제어 ASM Chat. 6. 제어 워드 및 설명 #베릴로그 파일
  • 페이지 154페이지
  • 가격 23,000원
  • 등록일 2013.11.21
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 ●베릴로그(Verilog) - HDL 정의 - HDL을 이용한 설계 - HDL 시뮬레이션 - HDL과 프로그래밍 언어의 비교 CPLD - CPLD의 정의 - CPLD CPU 종류 FPGA - FPGA의 정의 - FPGA의 특징 - FPGA 구조 FPGA와CPLD의 차이 1. 프로그램의 차이 2.
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2012.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
--lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="Cyclone II" LPM_DIRECTION="SUB" LPM_WIDTH=1 cout dataa datab result --VERSION_BEGIN 9.1 cbx_cycloneii 2009:10:21:21:22:16:SJ cbx_lpm_add_sub 2009:10:21:21:22:16:SJ cbx_mgl 2009:10:21:21:37:49:SJ cbx_stratix 2009:10:21:21:22:16:
  • 페이지 1페이지
  • 가격 5,000원
  • 등록일 2010.11.09
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Single Cycle CPU 제작,베릴로그, 소스, 레포트 Single Cycle CPU 제작,베릴로그, 소스, 레포트 정상적으로 모두 작동 결과레포트까지 있습니다. 쿼터스로 돌림. 1. 프로젝트 목적……………………………………………… 1 2, 설계사항의 정의
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계(OOD : Object-Oriented Design)단계 (3)객체지향 프로그래밍(OOP: Object-Oriented Programming)단계 3-1 객체지향 분석(Object-oriented development methodology) (1)객체지향 시스템 분석 절차 (2) 객체 모델링 1)객체와 클래스 2) 클래스와 관계 3)일반
  • 페이지 21페이지
  • 가격 1,800원
  • 등록일 2004.07.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 133건

로그램 차별화를 통한 장소마케팅 전략』 한국지역발전학회. 이정록(2006). 『문화관광축제의 성립과 전개과정』 한국 경제지리학회. 부소영(2002). 『기획축제의 관광지 이미지에 관한 영향 분석』 경기대학교 대학원.박사학위논문. 조규춘
  • 페이지 18페이지
  • 가격 2,900원
  • 발행일 2009.04.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 22 제 1 절 연구 가설 설정 22 제 2 절 조사 설계 23 1. 설문지의 구성 23 2. 분석의 방법 24 제 3 절 연구 가설 설정 25 제 4 장 실증 분석 26 제 1 절 조사대상자의 특성 26 1. 일반적 특성 26 2. 이용행태에 관한 특성 27 제 2 절 변
  • 페이지 41페이지
  • 가격 4,000원
  • 발행일 2008.06.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
yield tiny hairpin-line resonator filter", MW&RF November 1999 [5] 곽우영,박진우, “ Hairpin Line 여파기의 간단화된 등가회로”, 한국통신학회논문지 99-9 Vol.24 N0.9A Ⅰ. 서 론 Ⅱ. 설계 이론 Ⅲ. 설계 및 시뮬레이션 Ⅳ. 제작 및 측정 Ⅴ. 결 론
  • 페이지 5페이지
  • 가격 2,000원
  • 발행일 2008.11.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
: 16 ㎝/s 이상 150 ㎝/s 미만 사용환경 :  -20 ℃ ~ 50 ℃ 설치 및 사용이 용이하고 외부 이물질로부터 차폐될 것. 연구목적 완강기의 정의 - 문제점과 개선방향 설계 - Solid Edge를 이용한 3차원 모델링 제작 고찰
  • 페이지 11페이지
  • 가격 2,000원
  • 발행일 2008.12.15
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 234건

해줘야 했습니다. 먼저, '안드로이드 스튜디오' 툴을 이용하여 앱의 프레임 구축과 레이아웃을 구현했습니다. 'AVR Studio'를 이용하여 아두이노 프로그래밍을 하고 스마트폰과 데이터 통신을 구축했습니다. 이후, 서비스의 화면 설계, 구성 및
  • 가격 2,000원
  • 등록일 2016.01.11
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
능력을 이용한 최적설계를 통하여 이 두 마리 토끼를 다잡을 수 있는 그런 설계를 하고 싶습니다. BEST 입사지원서 1. 성장과정 및 성격의 장단점 2. 학창시절 및 경력사항 3. 지원부문에 대한 전공내용 4. 지원동기 및 입사 후 포부
  • 가격 2,500원
  • 등록일 2009.07.17
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
이용하여 자유롭게 본인소개(500자) 2. 전공을 포함한 자신의 관심분야를 자유기술(500자) 3. 본인이 열정적으로 도전하여 성취감 또는 실패를 경험한 사례기술(500자) 4. 관심과 열의를 갖고 참여했던 모임이나,교류한 인물에 대해 자유기
  • 가격 2,500원
  • 등록일 2010.06.30
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
 세부문항 및 목차 1. 자기소개 [ 꿈을 발견하다 ] [ Fast Learner, Applier ] [ Built Confidence ] [ 건강관리 ] 2. 주요경력/활동사항(연구분야는 세부전공 상세기재) [1000 byte 이내] [ CPU ] [ 대한조선학회 ] 3. 지원동기, 희망업무 및 장래포부
  • 가격 1,300원
  • 등록일 2012.03.23
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계 제안서 김성민 김상빈 김지호.hwp…………………………………………………2p 종합설계 제안서 태양광과 벅 컨버터를 이용한 충전시설 설계 ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
top