• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 825건

및 개선사항 이번실험을 통하여 RLC회로의 직렬 및 병렬공진회로와 필터에 대해 이해하였으며 Q인자에 따라 저항의 값이 달라진다는 것을 알았고 각각의 회로에서 주파수값에 따라 공진주파수와 반전력주파수를 직접 확인 할 수 있었고 작
  • 페이지 12페이지
  • 가격 1,300원
  • 등록일 2010.03.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
직렬공진회로를 그리고 전달함수를 측정하기 위한 연결상태와 측정방법을 기술하라 ▶ CH1 : 입력파형 CH2 : 출력파형 3.3 RLC 병렬회로에서 R에 걸리는 전압을 출력이라 하였을 때 C = 0.01μF, 공진주파수가 15.92kHz, Q-인자가 1인 대역저지필터(bandsto
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.02.01
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
z = 16.85kHz ▶ 대역폭 B = 1.49kHz 오차 ▶ 공진주파수 : 6.40 % ▶ 반력주파수 : 62.97 % : 105.55 % ▶ 대역폭 B : 6.40 % ▶ Q-인자가 10일때 오차가 반력주파수의 오파가 생각보다 컸다. 아마도 아날로그 함수발생기 때문에 그런거 같다. 5.2 실험에 사용된
  • 페이지 3페이지
  • 가격 1,000원
  • 등록일 2007.02.01
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로의 임피던스 실험 49. 직렬 RLC회로에서 임피던스와 전류에 미치는 주파수의 영향 실험 52. 직렬 RLC회로의 주파수응답과 공진주파수 실험 51. 병렬 RLC회로의 임피던스 실험 54. 병렬공진회로의 특성 실험 55. 저대역 및 고대역 필터
  • 페이지 144페이지
  • 가격 6,300원
  • 등록일 2015.07.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로의 임피던스 실험 49. 직렬 RLC회로에서 임피던스와 전류에 미치는 주파수의 영향 실험 52. 직렬 RLC회로의 주파수응답과 공진주파수 실험 51. 병렬 RLC회로의 임피던스 실험 54. 병렬공진회로의 특성 실험 55. 저대역 및 고대역 필터 실험
  • 페이지 33페이지
  • 가격 3,000원
  • 등록일 2010.04.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 있음

논문 5건

Filter의 기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
필터의 특성 개선, PLL 모듈과의 최적화를 통해 스퓨리어스 특성을 개선시킬 수 있을 것으로 생각된다. 또한 DDS와 PLL을 동시에 조정하기 위한 프로그램의 개발이 필요하다고 여겨진다. 참 고 문 헌 [1] 고윤수, WLL용 PLL 모듈 설계 및 제작, 충남
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
as a plug-in type. 단일 전류센서를 갖는 단상 반브릿지 능동전력필터 1. 서론 2. 능동전력필터의 원리 3. 단일 전류센서 능동전력필터 4. 시뮬레이션 모형과 결과 5. 프로토타입 제작 및 실험 6. 결과 참고문헌 Abstract
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
장 회로도 및 도면 4.1 조작부 조작부 회로도를 작성해보았다. 4.1.1 전체 [그림 4-1] 회로도 전체 4.1.2 ATmega128 [그림 4-2] ATmega128 4.1.3 JTAG Port [그림 4-3] JTAG Port 4.1.4 Power(+3.3v) [그림 4-4] Power(+3.3v) 4.1.5 RF(Zigbee) [그림 4-5] RF(Zigbee) 4.1.6 TFT-LCD [그림 4-6] TFT
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효과 5장.
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2건

및 병렬회로을 설계한다. 망로전류를 이용한 회로 해석, 중첩의 정리 등 다양한 회로 해석 방법에 대하여 실험을 통하여 이해하고, 그 원리를 습득할수 있엇습니다. 1. 기업분석 - PCB산업 업계의 현황 1. 산업의 특성 2. 산업의 성장성
  • 가격 4,500원
  • 등록일 2021.11.08
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
및 강점을 기반으로 기술해 주십시오. 2.지원 분야와 관련된 업무경력 및 실적 등 경력사항이나 다른 경험 및 활동에 대해 상세히 기술해 주시기 바랍니다. 경력사항의 경우, 채용 분야의 직무와 관련된 기업이나 조직에서 실제적으로 수
  • 가격 2,000원
  • 등록일 2022.03.24
  • 파일종류 한글(hwp)
  • 직종구분 공사, 공무원
top