• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 28건

는 역사순례에도 부모님과 같이 갈 기회가 생겼습니다. 그중 관심 있는 부분은 중국내에 있는 국내성입니다. 요즘은 역사순방을 준비하여 삼국시대의 관련 자료 들을 준비해보고 있습니다. ※ 학업계획서는 A4용지 2매 이내로 작성하며 자필
  • 페이지 7페이지
  • 가격 3,200원
  • 발행일 2010.06.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
배경색이 같은 검정색인 부분은 제대로 검출되지 않았다. 3.2.5 이진화 및 잡음 제거 차 영상의 결과를 경계 값을 주어 3.2.4의 전반적인 잡음을 제거 할 수 있었다. 여기서는 추적하고자 하는 보행자의 모습을 보존하면서 잡음을 잘 제거하는 경
  • 페이지 24페이지
  • 가격 5,000원
  • 발행일 2012.07.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
.knowledge.go.kr ▲ 조선일보 : http://www.chosun.com/ ▲ 한겨레 : http://www.hani.co.kr/ ▲ 오마이뉴스 : http://www.ohmynews.com/ ▲ 국회도서관 : http://www.nanet.go.kr/ - 김보민, 디지털 방송시대 아나운서의 새로운 역할 정립에 관한 연 구, 중앙대 신문방송대학원
  • 페이지 3페이지
  • 가격 1,000원
  • 발행일 2011.10.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
컴퓨터공학과 2004 [24] 리눅스 한글 맨페이지 프로젝트 http://man.kl에.org/man/man8/ab.8.html [25] 수퍼유저코리아, 리눅스 클러스터링 & 로드발런싱, http://www.superuser.co.kr/linux/cluster/page01.htm [26] 와우리눅스, 정보광장, http://wowlinux.com/information/ [27] 정관
  • 페이지 62페이지
  • 가격 5,000원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
컴퓨터구조, 네트워크" [8]유시룡(1997), " MPEG 시스템", 大英社 [9]유헉, "응용 프로그램과 상호 협조하는 멀티미디어 스케줄링" [10]인천대학교 정보통신공학과 네트워크 연구실(2005), "OPNET 9.1, Computer Networks- A Systems Approach 3rd Ed, Lab Manual " Ⅰ
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
학업 성취도에 대한 일반적 개념 3. 체벌과 학업성취도의 관계 제 3장 체벌과 학습 성취도의 관계에 대한 설문조사 1. 조사 대상 및 표집방법 2. 조사 도구 3. 자료처리방법 제 4장 결과 및 해석 1. 설문지와 체벌의
  • 페이지 10페이지
  • 가격 1,000원
  • 발행일 2006.12.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
컴퓨터프로그램의 법적 보호에 대하여 저작권에 의한 보호, 특허법에 의한 보호, 영업비밀에 의한 보호, 상표법 등에 의한 보호로 나누어서 알아보고 미국, 영국 등의 외국의 컴퓨터프로그램에 대한 입법 현황에 대하여 살펴보고 컴퓨터프로
  • 페이지 25페이지
  • 가격 3,500원
  • 발행일 2006.10.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
iculum Development. Wade, R. C., & Yarbrough, D. B. (1996). Portfolios: A tool for reflective thinking in teacher education? Teaching & Teacher Education, 12(1), pp.4-8. Worthen, B. R., Borg, W. R., White, K. R. (1998). Measurement and evaluation in the Schools, NY: Longman. <부록 1> 학업성취
  • 페이지 66페이지
  • 가격 7,000원
  • 발행일 2012.04.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학학회지 7권 제 3호, 1997, pp 387~392 1. 서 론 2. 시스템 구성 및 설계 2.1 시스템의 동작 2.2 시스템 구성도 2.2.1 마이크로프로세서 구동회로 2.2.2 센서와 모터 구동부 2.2.3 전원부 2.3 로봇암의 구동 프로그램 3. 시스템 제작 및 테스트
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
컴퓨터 리터러시의 통합적 접근", 교육공학연구, 3권, 한국교육공학연구회, 1987 안정임, ‘디지털시대 미디어 교육 제도화의 필요성과 방향’, 디지털 시대의 미디어 교육의 제도화, 한국언론학회 2002년 교육학술위원회 세미나 정기간행물 김
  • 페이지 22페이지
  • 가격 3,000원
  • 발행일 2010.03.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 3 다음
top