• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 375건

B1, B2, B3 3개의 Binary code input을 G1, G2, G3 3개의 Output이 나오도록 설계한다. 이때 3개의 값은 Gray code Output이다. POS, SOP를 이용하여 설계하여보고, Karnough map을 이용하여 최적의 Logic Network를 구현한다. 그리고 이 설계를 이용하여 VHDL로 Coding하고 결
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. 개요 3개의 입력과 2개의 출력을 가지는 full adder를 설계하고, 이를 확장시켜 4-bit full adder를 설계한다. 2. 이론 - Full adder? 컴퓨터 내에서 2진 숫자(bit)를 덧셈하기 위한 논리 회로. 3개의 입력과 2개의 출력을 생성한다. 덧셈해야 할 2개
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디자인한 STLC 프로그램이 최적화된 설계인지는 잘 모르겠지만 앞서 언급했던 cnt overflow 현상만 보정해주면 정확한 설계라고 보여진다. - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan &
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2015.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 회로설계(Digital Logic Design) - 박인규 저 <문운당> 2. 디지털 설계 이론과 실습 John F. WAKERLY 저 <에드텍> 3. 디지털 회로 설계 이동렬 저 <생각> 4. 최신 디지털 회로 설계 이태원교수, 임인칠교수 공역 <Prentice Hall> 
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.05.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
부득이 위의 방식으로 하였다. State diagram과 table을 파악해 가며 그림을 그리는 것은 다른 단원보다 훨씬 흥미로워 더 재미있게 숙제를 할 수 있었던 것 같다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 6건

Logic for Empirical Research. Washington. D.C.: Georgetown University Press. Sampford Charles. (2002). Environmental Governance for Biodiversity. Environmental Science and Policy 5. pp.79-90. Van Vliet Martijn. (1993). Environmental Regulation of Business: Options and Constraints for Communicative G
  • 페이지 12페이지
  • 가격 3,000원
  • 발행일 2008.12.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Logic of architecture』. MIT press.1990. 2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999. 3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988. 4. Edward T. White, 이용재 역. 『건
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design, 태림문화사. Hiller, B., 1996, Space is The Machine, Cambridge University Press. Hiller, B., and Hanson, J., 1984, The Social logic of Space, Cambridge University Press. Jaskiewicz, F., 2000, “Pedestrian Level of Service Based on Trip Quality”, Transportation Research Circular, TRB. Jonathan,
  • 페이지 66페이지
  • 가격 5,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
logic of Space, Cambridge University Press. 22. Hiller, B(1996)., Space is The Machine, Cambridge University Press. 23. Landis, B.W., Vattikuti, V., and Brannick, M. "Real-Time Human Perceptions: Toward a Bicyclist Level of Service", Transportation Research Record No. 1587, TRB, National Research Co
  • 페이지 10페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Digital Economy I. 서 론 제 1 절 문제의 제기 제 2 절 연구의 목적 및 구성 II. 전통산업의 IT화와 e-Transformation 제 1 절 새로운 경영 패러다임 제 2 절 전통산업의 IT화 제 3 절 전통기업의 e-Transformation 제 4 절 디지털 비즈니
  • 페이지 23페이지
  • 가격 3,800원
  • 발행일 2005.10.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2건

디지털 사이니지의 취약점을 IDA pro, fiddler, 에뮬레이터, wireshark, FTK manager ,uart, logic analyzer 등으로 4개의 플랫폼에 대해 분석하고 STRIDE 위협 모델링을 하여 논문으로 작성해 한국OOOO학회에서 우수논문상을 수여받고 Digital Signage 관리솔루션 인
  • 가격 3,000원
  • 등록일 2023.03.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
common alternating current systems. The following figure shows a typical block diagram of a monopole system. Explain main function of the rectifier and the inverter and design a simple circuit of the rectifier and inverter. Also, describe a possible problem of using rectifier / inverter and the so
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
top