• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7건

보드에서의 USART 통신 과정을 LCD에 디스플레이 함으로써 결과를 정확히 확인하고 이해할할 수 있었다. 1. 실험 목적 2. 실험 부품 3. 관련이론 4. 프로그램 소스 해석 (AVR TO PC) 5. 실험결과 6. 추가 실험(AVR TO AVR) 7. 결론 및 고찰
  • 페이지 16페이지
  • 가격 1,200원
  • 등록일 2014.11.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
PC와 ATmega128 혹은 ATmega128 두개를 연동시킨 후 폴링을 이용하여 문자 송신, 수신을 해보는 실험이었다. 폴링이란 통신에서 폴링은 한 프로그램이나 장치에서 다른 프로그램이나 장치들이 어떤 상태에 있는지를 지속적으로 체크하는 전송제어
  • 페이지 18페이지
  • 가격 1,200원
  • 등록일 2014.11.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ⅰ. 목 표 AVR ATmega128의 USART 포트를 이용하여 MCU와 PC 간의 RS-232C 직렬통신과 MCU ? MCU 간의 통신을 실험으로 알아본다. 그리고 각 실험의 원리에 대해 설명할 수 있도록 한다. <중 략> - 원리 및 동작해석 UART 1의 Buadrate를 19200, Parity che
  • 페이지 20페이지
  • 가격 4,000원
  • 등록일 2015.09.03
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
USART는 Universal Synchronous Asynchronous Receiver and Transmitter의 약자이다. 보통 클럭 신호를 이용한 방식을 사용하지 않는 비동기 전송방식을 많이 사용하고 이러한 의미로 UART라고 부른다. 비동기 시리얼 데이터 전송방식의 표준으로써 PC에서는
  • 페이지 23페이지
  • 가격 12,600원
  • 등록일 2013.05.16
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
USART의 송수신데이터 신호 XCK0: USART0 External Input/Output: USART0의 동기 모드에서 송수신 클럭 XCK1: USART1 External Input/Output: USART1의 동기 모드에서 송수신 클럭 T0:타이머/카운터0의 클럭 입력 신호 T1:타이머/카운터1의 클럭 입력 신호 TOSC1,TOSC2: 타
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2007.10.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

Interface 2.2.5 USART 2.3 시스템 흐름도 2.4 신호 처리 알고리즘 (VOR compensation algorithm) 2.5 Simulation (로봇에의 적용) 2.5.1 simulation 방법 2.5.2 simulation 결과 2.6 하드웨어 simulation (로봇에의 적용) 3. 결론 및 향후 연구 4. 참고문헌 5. Abstract
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top