• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 155건

PID Control Closed loop transfer function = = 와 를 그대로 유지하고, 값을 0.5씩 변화시켜보았다. =1일 때 오버슈트가 5%를 약간 넘고, =1.5 일 때 오버슈트가 5% 미만이 된다. 물론 응답 속도는 =1 일 때가 빠르다는 것을 알 수 있다. =1.5가 적절함. 값이 너
  • 페이지 5페이지
  • 가격 1,500원
  • 등록일 2010.03.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Matlab의 Simulink를 이용하여 모델링된 시스템에 최적인 게인값 Kp, Ki, Kd를 시뮬레이션을 통해 찾아내어 실제 시스템에 반영하고 그 결과를 분석하는 과정이 필요할 것이다. 여기에는 동역학과 제어공학 등의 이론을 활용하여야 할 것이다. 기회
  • 페이지 23페이지
  • 가격 3,000원
  • 등록일 2011.07.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
변환하는 gain값이 필요가 없다. 우리가 사용하는 motor의 model은 2 ploe이므로 gain값을 2로 주었다. 옆의 설정하면의 아래에서 두 번째 칸을 보면 2 pole을 확인할 수 있을 것이다. 그리고 다음으로 PID제어기를 이용해 비례제어(P: proportional control)를
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2011.11.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
. 추가하는 PM 이 불규칙적으로 달라지면서 P.O 와 Ts 등의 변화 등이 불규칙함을 알 수 있다. 1. 설계 목적 2. 설계에 중요한 제한요소 3. PID 제어기의 원리 및 소개 4. Matlab 소스 5. 각 경우에 따른 결과값 분석 6. 비용 소개 7. 결론
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2010.12.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이런 프로그램에 익숙해 지도록 노력해야겠다. ◆ ◆ 4. 참고문헌 부산대학교 화학공학과 / 화학공학실험 11 / 실험3. MATLAB 을 이용한 CONTROL SYSTEM ◆ ◆ 1. 실험 목적 ◆ ◆ 2. 실험순서 & 실험결과 ◆ ◆ 3. 고 찰 ◆ ◆ 4. 참고문헌
  • 페이지 7페이지
  • 가격 500원
  • 등록일 2007.10.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 4건

MATLAB 출력 신호 확인 코드 : 이펙터의 여러 종류 중 Fuzz Face란 이름을 가진 Fuzz를 예를 들었다. 다른 이펙터 소리 파일들의 출력을 듣거나 출력 신호를 보기 위해서는 파일명만 바꾸어주면 간단하게 확인할 수 있다. 코드에서 Fuzz의 게인을 Low, M
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
MATLAB Simulink를 사용하여 시뮬레이션을 수행하였다. 신뢰성과 내 환경성에서 우수한 특성을 가지고 있는 AC 서보 모터는 가전분야의 지속적인 시장점유와 공작기계를 포함한 산업용기기 분야의 폭넓은 시장을 가지고 있었으나 타모터에 비하
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션 결과 가) Hamming encoder <그림4-10. Hamming encoder 타이밍도> 해밍 코드의 알고리듬에 따라 00101100의 입력이 001011100011의 encoding 된다. 나)Hamming decoder <그림4-11. Hamming decoder 타이밍도> 해밍 코드의 알고리듬에 따라 오류가 없을 것
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 3건

PID 제어기법으로 해석해 보았고, 다양한 센서제어를 통해 BIM측정기를 완성하였습니다. 또한, OO시에서 주최하는 CATIA와 CFX 등의 심도 있는 강의를 수강하며 설계 툴 활용능력을 배양했습니다. 1. 지원분야(직무)를 선택한 이유를 작성해주
  • 가격 3,000원
  • 등록일 2023.02.06
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
Matlab 등을 사용해 직접 비교 분석하고, 에너지공단 주최하의 ‘울산 정유공장 견학’을 신청하여 공장의 다양한 기계들의 프로세스를 직접 살펴보았습니다. 저는 이렇게 다양한 프로젝트 경험으로 얻은 직무 전문성으로 “고객의 요구조건
  • 가격 3,000원
  • 등록일 2023.02.06
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
og, Pspice, Workbench, 소자 simulation 소프트웨어인 MEDICI 등 다양한 software를 통한 실무위주의 능력을 배양하였습니다. 최근에는 JPEG 알고리즘을 c언어나 matlab이 아닌 verilogHDL를 통한 구현 방법과 microstrip을 이용한 RF소자를 논문에 나와 있는 방법으
  • 가격 3,000원
  • 등록일 2007.06.29
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top