|
/****** PROGRAM TITLE : RF RXD *****/
/****** PROGRAM NAME : rf_rx.c ******/
/****** PROCESSOR TYPE : 89c52(Atmel) ***/
/****** ****/
/***** /
#include
#define START_CHAR 0xc3
#define END_CHAR 0x3c
수신 값 //FND Control Signal RF DATA
#define FND_START 0x01
#define FND_STOP 0x02
#define
|
- 페이지 9페이지
- 가격 2,000원
- 등록일 2006.06.09
- 파일종류 아크로벳(pdf)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
1. 개요
(1) 설계 목적
- Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATMEGA 128 라이트 모듈로 Digital Clock, Stop Watch, Alarm, Down Count Timer 이 4가지 Mode를 구현하고 그 동작 및 기능을 분석하고 이해
|
- 페이지 19페이지
- 가격 1,800원
- 등록일 2019.01.25
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
STOP) 비트는 1상태여야 하는데, 만일 정지 비트가 0 상태로 판정되면 Framing Error(FE)로 처리된다.
4) 기능부
송 / 수신부에서 받은 신호에 따라 제어부에서 기능부로 경고를 발생시키라는 신호를 받으면 그 경고를 모드에 따라 발생시킨다.
(1) LED
|
- 페이지 21페이지
- 가격 3,000원
- 등록일 2010.06.03
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
ntity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
archi
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
모듈(MODULE)회로
(1) NPN TR과 PNP TR 의 스위칭 동작테스트 모듈
(2) 555 IC를 이용한 발진 제어 모듈
(3) 전자부저 및 스피커 모듈
(4) 수위, 단선, 빛등 3가지 이상의 실험이 가능한 TR 릴레이 모듈
(5) 세상에서 가장 간단한 오디오 앰프회로
(6)
|
- 페이지 33페이지
- 가격 3,000원
- 등록일 2010.02.22
- 파일종류 아크로벳(pdf)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|