• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 255건

/****** PROGRAM TITLE : RF RXD *****/ /****** PROGRAM NAME : rf_rx.c ******/ /****** PROCESSOR TYPE : 89c52(Atmel) ***/ /****** ****/ /***** / #include #define START_CHAR 0xc3 #define END_CHAR 0x3c 수신 값 //FND Control Signal RF DATA #define FND_START 0x01 #define FND_STOP 0x02 #define
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2006.06.09
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. 개요 (1) 설계 목적 - Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATMEGA 128 라이트 모듈로 Digital Clock, Stop Watch, Alarm, Down Count Timer 이 4가지 Mode를 구현하고 그 동작 및 기능을 분석하고 이해
  • 페이지 19페이지
  • 가격 1,800원
  • 등록일 2019.01.25
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
STOP) 비트는 1상태여야 하는데, 만일 정지 비트가 0 상태로 판정되면 Framing Error(FE)로 처리된다. 4) 기능부 송 / 수신부에서 받은 신호에 따라 제어부에서 기능부로 경고를 발생시키라는 신호를 받으면 그 경고를 모드에 따라 발생시킨다. (1) LED
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2010.06.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ntity div1 is port( clk: in std_logic; in_data: in integer range 0 to 999; o_data: out std_logic_vector(15 downto 0); --o_data_100: out std_logic_vector(3 downto 0); --o_data_10: out std_logic_vector(3 downto 0); --o_data_1: out std_logic_vector(3 downto 0); o_cmplt: out std_logic ); end div1; archi
  • 페이지 50페이지
  • 가격 3,500원
  • 등록일 2007.12.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
모듈(MODULE)회로 (1) NPN TR과 PNP TR 의 스위칭 동작테스트 모듈 (2) 555 IC를 이용한 발진 제어 모듈 (3) 전자부저 및 스피커 모듈 (4) 수위, 단선, 빛등 3가지 이상의 실험이 가능한 TR 릴레이 모듈 (5) 세상에서 가장 간단한 오디오 앰프회로 (6)
  • 페이지 33페이지
  • 가격 3,000원
  • 등록일 2010.02.22
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo Motor Drive 17 4.2.5 DC Motor Drive 18 4.2.6 Camera Module 18 4.2.7 JTAG Port 19 4.2.8 LED Light / Power(+3.3v) 19 제 5
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
모듈에는 "Forward!!!!"가 출력된다. 그리고 RF통신을 통하여 입력신호가 몸체에 있는 Zigbee 모듈로 들어가 출력신호가 MCU의 스텝모터 포트(B Port) 부분으로 들어가 스텝모터 드라이브를 통하여 양쪽에 있는 스텝모터가 전진을 하면 바퀴를 통하여
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
RF통신, 마이크로 프로세서, 모터, 모터 제어 모듈, 초음파 센서로 구성되어 있다. 주차 공간 파악을 위한 의사결정 과정은 아래와 같은 신호 전달을 통하여 (1)의 주차 시뮬레이션의 내용을 실행한다. 1. 서론 1.1 주제 선정 동기 1.2
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 4건

한 Stop Watch등 여러 프로젝트가 있었지만 팀으로 일하며 열정을 다했던 저의 첫 작품이 가장 소중한 기억입니다. 지원동기와 입사후 포부 군 제대후 3개월 동안 대전지하철 공사 건설현장에서 배선작업을 한 적 있었습니다. 가로등 시공에서
  • 가격 700원
  • 등록일 2006.04.27
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
모듈 부품을 양산 중이며 차량 전장용 부품 시장에도 성공적으로 5G SAW RF , 진입을 하여 현재 취득을 추진 중입니다 IATF16949 . 그리고 사업은 전방산업인 휴대폰 산업이 년부터 스마트폰 출시이후 통신시스템의 발전 SAW 2010 에 따라 그 수량
  • 가격 4,000원
  • 등록일 2023.08.13
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
RF통신을 이해하고 있습니다. 무엇보다도 대학원 생활을 통하여 팀원 간의 커뮤니케이션, 및 역할분담, 상대방을 이해할 수 있는 능력을 길러 왔으며, 통신개발에 대한 꿈을 길러 왔습니다. 매사에 성취감과 자신감을 가지고 실패를 두려워하
  • 가격 1,200원
  • 등록일 2010.08.03
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신
모듈과 스피커 연결이었습니다. 처음엔 각자 따로 업무를 진행하여 제작하고 나중에 모여서 종합하기로 하였으나, 다양한 문제가 있었습니다. 아두이노와 앱의 코딩이 상호작용 되지 않는 문제점과 시연하면서 블루투스 통신에러로 인한 스
  • 가격 5,000원
  • 등록일 2023.06.29
  • 파일종류 아크로벳(pdf)
  • 직종구분 일반사무직
top