• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 10,013건

개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 설계보다 코딩으로 기술 가능한 점, Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Pr
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
machines/ www.eecs.umich.edu/~mazum/eecs270/lab/lab6.pdf http://accad.osu.edu/~pgerstma/class/vnv/examples/fsm/cokeMachine.pdf 1 연구배경 1.1 자판기 사전적 의미.............................................3 1.2 자판기 종류별 구조적 설명.......................................4 2 설계
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vending is port( clk, reset : in std_logic; coin_10, coin_50, coin_100, coin_500 : in std_logic; button_coffee, button_tea, button_orange, button_cok
  • 페이지 1페이지
  • 가격 3,000원
  • 등록일 2011.08.29
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
보고서.hwp <Vending Machine> ▣ 전체 회로도 및 블록도  ≪ 그 림 ≫ 전체 블록도  ≪ 그 림 ≫ 전체 블록도 1. 파워 인가시 세그먼트 1∼4까지 메뉴 5가지를 순차적으로 표시한다. 2. 돈을 입력한다. 3000원 이상시 최대 30
  • 페이지 29페이지
  • 가격 50,000원
  • 등록일 2013.11.06
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 37건

이용한 적군의 주타격 방향 분석, 김무수, 박건우, 이상훈, 정보과학회논문지 제40권 제1호 (2013년 1월) pp.37-44 특수기술 기계경비시스템 오경보 이벤트 분석을 위한 데이터마이닝 기법 연구, 김종민, 최경호, 이동휘, 정보·보안논문지 제12권
  • 페이지 8페이지
  • 가격 3,000원
  • 발행일 2015.11.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용하여 유동해석 결과나 압력, 패턴등을 볼 수 있다. 그림1) 그림2) 그림3) 다음 그림은 시간에 따른 금속 용융액의 유동 속도를 보여준다. 다음 그림은 모델링한 대상의 압력 분포를 보여 준다. 다음 그림은 모델링한 대상 각각의 두께에 대
  • 페이지 11페이지
  • 가격 4,000원
  • 발행일 2009.07.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Machine, Cambridge University Press. 23. Landis, B.W., Vattikuti, V., and Brannick, M. "Real-Time Human Perceptions: Toward a Bicyclist Level of Service", Transportation Research Record No. 1587, TRB, National Research Council, Washington D.C., 1997 24. Petritsch, T.A., B.W. Landis, H. Huang, P. Mc
  • 페이지 10페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용하여 donor용 피부를 떼어낼시 사용 Debrider 비강내 병든 점막 등을 긁어내는 목적으로 사용 Portable X-ray 필요한 곳으로 이동할 수 있는 x-ray Heart lung machine 심장의 혈액 pump작용과 폐의 Gas교환을 심 정지 동안 대신 해주는 기계 Nerve stimulator 안
  • 페이지 11페이지
  • 가격 900원
  • 발행일 2016.05.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 145건

이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다.
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
machine), 방법(method), 자계(material)의 4가지로 정하는 것이 바람직하다. 이를 특히 '4M요인도'라고 한다. <3단계>세부적인 작은 틀을 기입한다. 큰 틀은 요인의 대상이 상당히 커서 서비스조치를 취할 수가 없으므로 세부적인 작은 틀을 이용하
  • 가격 2,800원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
이용하는데 BOD나 COD수치가 높으면 높을수록 그만큼 오염이 많이 된 물이라고 할 수 있습니다. 환경기능사 실기(COD구하는거) 실험 방법. -> COD를 측정하는 방법은 여러 가지가 있는 것으로 아는데 일단 제가 학교에서 했던 실험 방법을 기준
  • 가격 10,000원
  • 등록일 2023.07.12
  • 파일종류 한글(hwp)
  • 직종구분 기타
기계기사를 취득하기 위해, 이론 지식을 쌓아가고 있습니다. 이러한 경험과 이론적 지식을 바탕으로 코리아나화장품에서 보탬이 될 수 있는 사원이 되도록 하겠습니다. ■ 목차 1. 면접에서도 활용 가능한 코리아나 화장품 GMP 설비점검
  • 가격 4,500원
  • 등록일 2023.11.15
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top