• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 15건

VHDL내에서의 문법의 정확한 이해가 부족하여 문법에 관한 문제가 발생하였습니다. if문내에서 else내에서 state의 상태를 지시했을 때 if의 조건이 아닐시 else 의 상태로 가는 것을 고려하지 못해 발생한 문제가 있었으며 해결하였습니다. 덧붙여
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
coffee 자판기 FSM을 통하여 목적성을 가진 프로그램의 모델링을 해보았으며 VHDL을 이용한 machine들이 어떻게 구동하는지 어떤 원리로 작동하는지 알게되었다. 1. 예비조사 및 실험 내용의 이해 2. 실험내용 및 결과 3. 결과 검토 및 의견
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
machine을 3개의 process로 표현한 VHDL 문장은 다음과 같으며 이를 수행하고 그 결과를 분석하라. Source Code library ieee; use ieee.std_logic_1164.all; entity moore_3p is port( clk, x, reset: in std_logic; y: out std_logic_vector(2 downto 0) ); end moore_3p; architecture sample of moore_3p is
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2010.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
의 Carry값이 1일 때만 동작하게 한다. 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 카운터는 Carry값 1을 T값으로 받으면서 왼쪽 4비트 카운터가 동작하도록 한다. 1. 8Bit Counter 2. State Machine
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2021.01.07
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 1건

기계공학을 전공하면서, 또한 직장생활을 하면서 컴퓨터에 관한 공부를 나름대로 열심히 하여 물론 더 많은 노력과 발전이 필요하지만 데이터통신, 컴퓨터아키텍쳐, VLSI, 시스템프로그래밍, VHDL에 관하여 어느 정도의 기본지식을 습득하고 있
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top