• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 11,547건

riable에서는 variable m은 signal b와 c로 즉시 바뀌는 것을 볼 수 있다. ◆ if 1. 소스 library ieee; use ieee.std_logic_1164.all; entity iff is port(a,b,c : in bit; y : out bit); end iff; architecture sample of iff is begin process(a,b,c) begin if (c = '1') then y <= a nand b ; else y <= a or b;
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계도 여러 가지에 다 적용하는 것이 아니라 단순한 하드웨어 설계는 기존의 것으로 설계를 하고 복잡한 레벨일 경우에만 사용하자는 것이다. 조그마한 플립플럽을 만들때 이것을 사용한다면 아마 웃을 것이다. 참 고 문 헌 [1] VHDL 기초와
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
출력시켰다. 1. 설계 요약문 2. 서론 1) 설계목표 1) 엘리베이터 논리 3. 설계 과정 및 결과 1) 전체 시스템 구성 및 Flow Chart 2) TOP VHDL 구성도 3) Data path 4) FSM 5) Core simulation 6) IO 7) 입출력 4. 결론 1) 결론 2) DISCUSSION  
  • 페이지 14페이지
  • 가격 3,000원
  • 등록일 2010.12.19
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 연구 -초급 한국어 교육과정 체계와 내용 구성을 중심으로-」, 이중언어학, no.87, (2022), 73-100. Ⅰ. 서론 Ⅱ. 본론 1. 초급문법의 핵심가치와 전략 2. 교육방법론의 다양화와 적용 3. '조사' 교육의 효율적 전략 4. 효율적인 문
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2024.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계에 앞서 동작 알고리즘을 작성한 뒤에 각각의 상태에서 동작 알고리즘을 만족하도록 코딩을 시작했습니다. 무작정 코딩을 통해 구현하는 방법보다는 정해진 순서를 통해 구하는 방법이 수월하였습니다. 상태 변수 할당 및 VHDL 문법을 통
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 80건

적용한 정보통신윤리 체험학습 시스템 설계 및 구현”, 부산교육대학원 석사학위논문 부산교육대학원 권연정(2004), “통신언어가 국어교육에 통신언어가 국어교육에 미치는 영향과 지도방안” 1. 서론 ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2023.08.06
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
문법 지도 방법 24 4.2.2 여러 가지 보조 자료 이용 26 4.3 의사소통적 교수법을 이용한 문법 지도 방안 29 4.3.1 게임(game)을 활용한 문법지도 30 4.3.2 역할극(role-play)을 활용한 문법 지도 31 4.4 의사소통적 교수법을 이용한 문법 지도 적용 33 4.4.1
  • 페이지 49페이지
  • 가격 8,000원
  • 발행일 2010.08.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 시 적용될 수 있는 휜의 개수에 따른 온도변화를 알아내기 위해 이번 실험을 시행하였다. 첫 번째 실험에서 휜의 길이 따른 온도변화결과는 길수록 좋다는 결론을 얻었으므로 최적의 효율을 위해서 동일 부피에 따른 온도변화 결과 값
  • 페이지 42페이지
  • 가격 10,000원
  • 발행일 2011.12.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3.2 의사소통중심 교수법의 형태--------------------------4 3.3 문법 적용 연습------------------------------------6 제 4 장 연구 결과----------------------------8 제 5 장 결론---------------------------------9 참 고 문 헌----------------------------------9
  • 페이지 10페이지
  • 가격 2,000원
  • 발행일 2009.01.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 252건

설계하는 즐거움도 가졌습니다. 뿐만 아니라 CMOS 아날로그 전자회로 설계를 공부하면서 간단한 필터, 증폭기 등을 FULL CUSTOM으로 설계해 볼 수 있었습니다. 이렇듯 전자공학 지식을 공부하고 적용하는 것은 지겹고 힘든 씨름이라기보다 즐거운
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계기법 1. 생산라인 방식의 적용 1) 직무 세분화 2) 서비스인력의 기술 대체 3) 서비스 표준화 2. 공동생산자로서의 고객 3. 고객접촉 방식 4. 정보권한 1) 종업원권한 2) 고객권한 Ⅱ. 서비스전달 시스템의 종류 1. 기능 위주의 서비
  • 가격 2,300원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
적용해 볼 수 있다는 점 또한 저를 흥분시키기에 충분합니다. 항상 처음 시작 때 가졌던 마음을 유지하며 조직에 밝은 시너지를 배가할 수 있는 일원이 되겠습니다. 설계분야에서도 변화하는 시대에 맞추어서 기술력과 전문성을 끊임없이 함
  • 가격 2,500원
  • 등록일 2018.08.24
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
적용하여 좋은 결과를 거둔 경험에 대해 기술해 주십시오 (요약 60이내,. 상세 1000이내) 4-3 다른사람들이 어렵다고 시도하지 않은 일을 추진하여 성공한 경험또는 실패한 경험중에서 가장 대표적인 사례를 기술해 주십시오(요약 60, 상세 1000이
  • 가격 1,500원
  • 등록일 2009.05.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top