• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 18건

FPGA 킷을 사용하여 디지털 시계를 설계하였다. 여태까지 했던 실습들은 vhdl코딩만 하고 자일링스 프로그램만 돌리면 끝이었는데 이번 실습부터는 실제 킷을 사용하여 결과물을 킷에 출력도 해보고 하는 것이라 처음에는 약간 어렵고 난해하
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA 킷을 사용하여 계산기를 설계하였다. 지난번의 디지털 시계 실습 때도 많이 헤맸었는데 이번 실습에서는 Vhdl Module파일이 3개나 되어서 처음에 소스코드를 작성하는데에 엄청나게 애를 먹었다. 또한, testbench를 시뮬레이션 돌렸을 때, 처음
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA 킷을 이용하지 않고 testbench를 이용해서 동작 특성을 보이는 것을 설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험 과목을 수강하면서 생소했던 vhdl coding
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
게임은 1p와 2p로 플레이가 가능합니다. 2p를 플레이 하기 위해서는 자료에 포함된 ppt 파일을 따라 배선작업이 필요합니다. 게임은 rhyrhm_1p 소스 만으로도 가능합니다. rhythm_1p 는 1번으로 지정한 키트, rhythm_2p 는 2번으로 지정한 키트에 다
  • 페이지 10페이지
  • 가격 4,000원
  • 등록일 2016.05.17
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
= 6)then tmp_value <= 1; else tmp_value<=tmp_value+1; end if; end if; end process; value<=tmp_value; end beh; 디지털 시계의 VHDL 소스코드 ◈ TOP ◈ clock_div ◈ clock1_div ◈ debounce ◈ MODE_GEN ◈ TIME ◈ TIMER ◈ SELECTOR ◈ int2seg2 ◈ cnt_onetosix
  • 페이지 20페이지
  • 가격 4,000원
  • 등록일 2010.10.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

FPGA Hardware Devices with Single -Instruction Driving For An Embeded Mobil Computing Platform." Proceedings. 4th International Conference on ASIC, 2001 Page(s): 514 -517 [8] Yong-Sun Na, Oh-Kyong Kwon, "A single chip driver system for 1.2inch Organic Electro-Luminescent display" IEEE Transactions o
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top