• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 87건

이용해서 나만의 기능인 공학계산기 같은 기능을 구현하고 싶다는 생각이 들었다. 그러기 위해서는 앞으로도 꾸준하게 공부를 해야겠다는 생각이 들었다. 이제 실습도 종반부를 향해서 달려가고 있는데, 기말 텀 프로젝트 준비도 꾸준히 하
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 시를 직접 만들어서 홈페이지상에 올린다든지, 집에서 기판을 연결해서 디지털 시계를 만들어 본다던지 하는 것에 관심이 생겼다. 다음 실습인 계산기 설계에서도 미리미리 준비하고 예습해서 어려움 없이 성공적으로 실습을 마무리
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
XILINX FPGA World 김 혁 | 엔트미디어 | 2006년 04월 [4] ISE를 이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRI
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL을 이용한 CPLD/FPGA 설계』, 다다미디어 4. 정희성 외, 『디지털 회로 기술 언어 입문, 논리설계와 HDL의 기초』, 홍릉 과학 출판사 5. 최명렬, 『주문형 반도체 설계 ASIC DESIGN』, 하이테크정보 6. Stephen Brown/Zvonko Vranesic, 『Fundamentals of Digital Log
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
FPGA 킷을 이용하지 않고 testbench를 이용해서 동작 특성을 보이는 것을 설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험 과목을 수강하면서 생소했던 vhdl coding
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

FPGA Hardware Devices with Single -Instruction Driving For An Embeded Mobil Computing Platform." Proceedings. 4th International Conference on ASIC, 2001 Page(s): 514 -517 [8] Yong-Sun Na, Oh-Kyong Kwon, "A single chip driver system for 1.2inch Organic Electro-Luminescent display" IEEE Transactions o
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 3건

디지털회로에 관한 세미나를 하면서 순차회로를 처음 접하게 되었을 때 그리고 VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
디지털 화상 편집을 하는 전문가용 프로그램으로 더욱 진보하였습니다. 대표적 최신 기능으로는, 동영상 이미지의 편집과 수정, 3D 컴퓨터 그래픽과 게임 디자인 작업 편집, 다양한 패널 이미지와 새로운 질감의 제작, 파일 브라우저의 강화로
  • 가격 3,000원
  • 등록일 2012.04.13
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top