|
loop
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity l_nand is
port( a,b : in bit_vector(3 downto 0);
y : out bit_vector(3 downto 0));
end l_nand;
architecture test of l_nand is
begin
process(a,b)
begin
for n in 3 downto 0 loop
y(n) <= a(n) nand b(n);
end loop;
end process;
end test;
2.
VHDL 설계 문법적용, VHDL 설계 언어 시뮬레이션, VHDL 설계 언어 실습 (문법적용) (logic1, ex1, ex2, if, 다중 if, memory if, case, for loop, when else, whenelse 연습, with_sele,
|
- 페이지 26페이지
- 가격 3,300원
- 등록일 2014.01.15
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Database Tuning Loop constructs
배경 및 목적
┗━━━━━━━━━━─────────…
■ Database Tuning을 효과적으로 하는 방법중하나
■ Application 과 Database server 사이의 round-trips 의 수를 최소로 함
구현 내용
┗━━━━
|
- 페이지 14페이지
- 가격 2,000원
- 등록일 2012.08.01
- 파일종류 피피티(ppt)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
-(추가) 과제 2. Loop 운영 방법에 대한 비교-
// 문제 A : 임의의 자료 5개의 누적합을 구하는 프로그램과 그 출력 결과를 display하라
<소스 코드>
#include <stdio.h>
#include <stdlib.h>
/*
문제 A는 main 함수의 매개변수 argc, *argv[] 를 이용하여
co
|
- 페이지 9페이지
- 가격 2,800원
- 등록일 2013.07.24
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
, 일반물리학실험 교재
-EBS 수능특강 물리1, 물리2 (1)실험제목 : 파동실험
(2)실험목적
(3)관련이론
(4)실험방법
A. 줄의 진동
B. Resonance wire Loop
(5)실험결과
A. 줄의 진동
B. Resonance wire Loop
(6)분석 및 결과토의
(7)참고문헌
|
- 페이지 3페이지
- 가격 13,860원
- 등록일 2012.11.14
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
Analog Communications 7장 PHASE-LOCKED-LOOP 마지막 문제 스캔한 자료입니다.
없음
|
- 페이지 10페이지
- 가격 1,300원
- 등록일 2009.12.14
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|