• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 695건

loop 1.소스 library ieee; use ieee.std_logic_1164.all; entity l_nand is port( a,b : in bit_vector(3 downto 0); y : out bit_vector(3 downto 0)); end l_nand; architecture test of l_nand is begin process(a,b) begin for n in 3 downto 0 loop y(n) <= a(n) nand b(n); end loop; end process; end test; 2.
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Database Tuning Loop constructs 배경 및 목적 ┗━━━━━━━━━━─────────… ■ Database Tuning을 효과적으로 하는 방법중하나 ■ Application 과 Database server 사이의 round-trips 의 수를 최소로 함 구현 내용 ┗━━━━
  • 페이지 14페이지
  • 가격 2,000원
  • 등록일 2012.08.01
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
-(추가) 과제 2. Loop 운영 방법에 대한 비교- // 문제 A : 임의의 자료 5개의 누적합을 구하는 프로그램과 그 출력 결과를 display하라 <소스 코드> #include <stdio.h> #include <stdlib.h> /* 문제 A는 main 함수의 매개변수 argc, *argv[] 를 이용하여 co
  • 페이지 9페이지
  • 가격 2,800원
  • 등록일 2013.07.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
, 일반물리학실험 교재 -EBS 수능특강 물리1, 물리2 (1)실험제목 : 파동실험 (2)실험목적 (3)관련이론 (4)실험방법 A. 줄의 진동 B. Resonance wire Loop (5)실험결과 A. 줄의 진동 B. Resonance wire Loop (6)분석 및 결과토의 (7)참고문헌
  • 페이지 3페이지
  • 가격 13,860원
  • 등록일 2012.11.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Analog Communications 7장 PHASE-LOCKED-LOOP 마지막 문제 스캔한 자료입니다.  없음
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2009.12.14
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 6건

Loop Delay Locked Loop, 동국대학교 대학원 전 자공학과 석사 논문 , 국회도서관 DLL 석사논문 자료실. 2005. <2> RF Design House, PLL / VCO 부분 , http://www.rfdh.com/ <3> 이 윤 우 , 성 창 경 , 최 우 영, A Low-Voltage and Wide Range Phase Lock Loop for standard Mobile Im
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
loop control)와 빠른 폐루프 제어(closed-loop control)가 사용되며, 폐루프 제어는 800b/s의 속도로, 제어신호는 음성 프레임에 실려서 전송된다. 기지국과 이동국에 다중경로 요소를 결합하고, 감쇠 진폭을 감소시키도록 Rake receiver가 사용된다. 용량의
  • 페이지 20페이지
  • 가격 5,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
loop control)와 빠른 폐루프 제어(closed-loop control)가 사용되며, 폐루프 제어는 800b/s의 속도로, 제어신호는 음성 프레임에 실려서 전송된다. 기지국과 이동국에 다중경로 요소를 결합하고, 감쇠 진폭을 감소시키도록 Rake receiver가 사용된다. 용량의
  • 페이지 20페이지
  • 가격 10,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
된다. (4.1.20) 는 VCO 입력 capacitance와 병렬 결합되어 있으므로 loop filter 특성 왜곡을 방지하기 위해서 3배 이상의 크기를 가져야 한다. 제 2 절 PLL 설계 및 제작 본 논문에서 최종적으로 제작하고자 하는 것은 DDS를 이용해 800MHz의 출력 주파수를
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Brand Meanings and Girls 브랜드의 전통적인 가치를 위한 여성들의 부모님들과 조부모님들의 친밀감과 역사적으로 확고하게 수립된 그들의 연계에 대해서 알아보기 위해서 우리는 우리의 관심을 소녀들, 그리고 이야기, 공예품과 소매점에 존재하
  • 페이지 9페이지
  • 가격 5,000원
  • 발행일 2014.05.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2건

LOOP, CLOSED LOOP 3. 패키징이란 무엇인가? 4. 애플과 안드로이드 경쟁은 향후 어떻게 될 것인가? 5. 당사에 지원한 적있는가? 6. 유체가 무엇인지 정의를 내려보라. 7. 실패했던 프로젝트를 말해보라. 8. 프레밍 법칙을 설명해보라. 9. 영어로 경력소
  • 가격 1,800원
  • 등록일 2015.02.05
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
loop문을 알게 되었습니다. 그리고 점차 배열 포인터, 스택, 큐등 자료구조에 대해서 공부했습니다. 그리고 프로그래밍 언어중에서는 C,C++그리고visual Basic을 좋아합니다. C언어는 워낙 옛날부터 공부를 해오고 있던 터라 관심이 많이 갑니다. 그
  • 가격 600원
  • 등록일 2010.02.12
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top