• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 89건

2_det <= '0';          end if;      end if;  end process; [db] [doorlock] [incremental_db] door_lock.done door_lock.flow.rpt door_lock.map.rpt door_lock.map.summary door_lock.qpf door_lock.qsf door_lock.qws door_lock.vhd door_lock.vhd.bak 245KB
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
10.12, 인천대학교 4. 국토해양부 보도자료(주택정책과), 전월세시장 안정 보완대책 발표 5. KB daily 지식비타민 (11-124로), 2011.9.7 6. 임성영, 「우리나라 주거복지정책 개선방안에 관한 연구: 공공임대주택과 주거비 지원을 중심으로」, 2011.2, 명
  • 페이지 26페이지
  • 가격 5,000원
  • 발행일 2011.12.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
국민의 삶의 질 향상과 행복지수를 높일 수 있다고 생각한다. ₁₁김기원(2013), 논단과 현장 : 한국사회의 모순과 2013년체제 ₁₂삼성경제연구소(2009), SERI경제포커스 : 한국의 소득불균형과 사회행복 참고문헌 KB금융지주 경영연구소, KB daily
  • 페이지 9페이지
  • 가격 2,300원
  • 발행일 2013.07.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
118 강력한 명령어 - 대부분 1클럭에 실행됨 32 * 8 범용 작업 레지스터 + 주변 장치 제어 레지스터 8MHz 에서 8MIPS 까지 동작 -데이터 & 비휘발성 프로그램 메모리 8KB ISP(In-System Programmable) 플래시 메모리 512B 내부 SRAM 512 ISP(In-System Pr
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
은행 서비스를 제공하는 모바일 뱅킹 서비스 'Bank-On'을 국민(KB)은행과 제휴를 통해 출시 하엿습니다. 이를 통해 2004년 100만명 이상의 가입자를 확보하였고 2005년, 2006년에는 Bank-On 서비스는 제휴은행이 16개사로 확대 되었고,Bank-On 서비스의 성
  • 페이지 11페이지
  • 가격 2,500원
  • 발행일 2015.09.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1177호, 2004. 12. 박석지, 유종현, “U-센서 네트워크 산업의 개념과 발전 동향,” IITA 주간기술 동향, 통권 1135호, 2004. 3, pp.1-19. 정보통신정책, “RFID 확산 추진현황 및 전망,” 제 16권 6호, 2004, pp.8-9. 정보통신부, http://www.mic.go.kr 한국전산원, RFID
  • 페이지 20페이지
  • 가격 2,900원
  • 발행일 2008.10.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
은행의 보험자회사 설립에 따른 영향”, 보험연구소, 2004 李碩晧, “방카슈랑스 제도의 운영방향 ” 한국금융연구원, 2004 이경희, ‘보험회사 겸업화 추세와 국내 보험회사의 대응전략’, 보험개발원 보험연구소, 2001. 1 이경희, ‘보험회사 겸
  • 페이지 27페이지
  • 가격 3,500원
  • 발행일 2008.10.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
국민연금 이것이 문제다”,서울경제신문, 2007. 10. 9. www.datanews.co.kr, 2008. 6. 5. 김 진, “고령세대의 생활안정을 위한 역모기지의 활용방안에 관한 연구”, 전주대학교 대학원 석사학위논문, 2005. 강종만·이석호, “역모기지 활성화 방안”, 한국
  • 페이지 84페이지
  • 가격 4,000원
  • 발행일 2010.06.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A comparative study of teacher talk in English class between English L1 and Chinese L1 Teacher. The Linguistic Association of Korea Journal, 28(3), Great achievements on classroom discourse research has been made in China and empirical research using the method of discourse analysis on teacher talk
  • 페이지 18페이지
  • 가격 28,000원
  • 발행일 2023.02.22
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
실시한 결과 문제점으로 드러난 1인 미디어의 자극적이고 선정적인 내용은 방송 전에 사전 검열을 하거나 플랫폼 내부의 모호한 규정을 구체화하는 등의 강력한 제제가 필요하며, 학생들이 자극적인 내용에 대해 대수롭지 않게 여기며 수용
  • 페이지 21페이지
  • 가격 2,000원
  • 발행일 2024.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 3 4 5 6 7 8 9 다음
top