|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2014.02.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,300원
- 등록일 2014.03.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
방향의 차량은 직진만 허용이 되고, 동서방향의 차량은 직좌(직진과 좌회전) 동시신호를 받는다. 신호제어기를 설계하시오.
2.동작원리 설명
이번 신호등 회로 실험 설계에서는 3가지의 회로가 하나로 합쳐져서 제작된다. 없습니다.
|
- 페이지 6페이지
- 가격 3,000원
- 등록일 2010.04.04
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
실험 10. BJT의 이미터 바이어스 및 콜렉터 궤환 바이어스
(1) 결정
(2) 이미터 바이어스회로
(3) 콜렉터 궤환회로(=0)
(4) 콜렉터 궤환회로(가 존재)
실험 11. BJT 바이어스 회로 설계
(1) 콜렉터 궤환회로
(2) 이미터 바이어스회로
(3) 전압분배기 회로&n
|
- 페이지 11페이지
- 가격 8,400원
- 등록일 2015.05.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
사용)에 맞추어 저항기 조합을 구성해야 한다. 1. 직류 회로의 정의
2. 지정된 저항 조건을 만족하는 직렬 회로 설계
3. 지정된 전압과 전류 조건을 만족하는 직렬 회로 설계
4. 지정된 전류와 저항 조건을 만족하는 직렬 회로 설계
|
- 페이지 1페이지
- 가격 800원
- 등록일 2011.10.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
1. 개요
2. 디자인
3. 결론
4. 느낀점
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
바이어스 : 가 증가하면 안정성이 감소한다.
참고
문헌
설계 방법
전자 회로 - Robert L. Boylestad, LOUUS NASHELSKY 저
표준 저항 값 결정
NAVER 지식 IN 표준 저항 값
BJT 설계
기초전자회로실험 남춘우 역
설계 기준
기초전자회로실험 남춘우 역
|
- 페이지 2페이지
- 가격 500원
- 등록일 2011.06.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로 2> Pspice에 구현 (VI1=VI2=0V)
simulation 결과 Vo=5v
<회로 2> Pspice에 구현 (VI1=5V, VI2=0V)
simulation 결과 Vo≒0v
<회로 2> Pspice에 구현 (VI1=0V, VI2=5V)
simulation 결과 Vo≒0v
<회로 2> Pspice에 구현 (VI1=VI2=5V)
simulation 결과 Vo≒0v
4장 실험결과
1) BJT
|
- 페이지 15페이지
- 가격 2,000원
- 등록일 2011.06.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
설계실험 - 실험 장비 사용법 및 RLC회로 분석
1. 실험장비 종류 및 사용법
2. RLC 회로 이론 및 분석
2-1. 소자에 대한 이론
2-2. 임피던스와 페이저
2-3. RL회로 분석
2-4. RC회로 분석
2-5. RLC회로 분석
3-1. 실험에 필요한 장비
3-2. 실험에
|
- 페이지 11페이지
- 가격 2,300원
- 등록일 2014.01.16
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
급격히 변해도 항복전압은 거의 일정하게 되는데 이 부분이 제너 다이오드 동작의 핵심부분입니다.
^
이 그래프는 제너 다이오드의 전압 전류 특성곡선입니다. 정전압 회로
1.1 기본회로
1.2 동작원리
1.3 설계
1.4 실험
1.5 시뮬레이션
|
- 페이지 17페이지
- 가격 5,000원
- 등록일 2013.12.24
- 파일종류 피피티(ppt)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|