• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,097건

In에서 받아 쉬프트 시키는 회로를 설계하라. 단, D 플립플롭과 NAND, NOT만을 사용하여 회로를 구성하라. (준비물 : SN7474, SN7404, SN7400) (2) 실험 1에서 PRESET=0으로 놓으면 데이터는 SI로 입력되겠는가? 데이터를 쉬프트 시킬 때 PRESET은 어떤 상
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2011.09.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험을 통하여 다음 표를 완성하고 미분용해열을 계산한다. 종류 온도 NaOH 소비량 옥살산 농도(M) 옥살산 몰수 (m) 옥살산 무게(g) 옥살산 용액 5ml 무게 물의 무게 옥살산의 몰랄농도 ms log ms 0 실온 30 35 참고문헌 1. Raymond Chang, 일반화학 제 5판,
  • 페이지 5페이지
  • 가격 9,660원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
of and Agenda for Reform of Grants-in-aid System in Korea This paper seeks to present a critical overview of major issues surrounding the ongoing debate on reform of grants-in-aid system in Korea. After a brief review of the theory of grants and the practice in Korea, a set of key issues is present
  • 페이지 23페이지
  • 가격 1,900원
  • 등록일 2010.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
0-02-m-kmno4-0-1n-honeywell-fluka-1/p-7122082 안전보건공단, Distilled Water(D.W.)/Sodium oxalate/Sulfuric acid/Potassium permanganate/Hydrogen peroxide, 2019.09.23., https://msds.kosha.or.kr/kcic/msdsdetailGet.do 화학용어사전편찬회, (2017), 화학용어사전, 일진사 1. 실험 조 및 공동
  • 페이지 9페이지
  • 가격 1,500원
  • 등록일 2019.11.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
0'; wait for 100 ns; a <= '1', b <= '1', c <= '1'; wait for 100 ns; 2. 게이트 변환 실습 < 202페이지 Source 코드 참고 > 게이트 변환 - entity gate_changel is Fort ( a : in STD_LOGIC); ,b : in STD_LOGIC); ,y : out STD_LOGIC); end gate_changel; architecture Behavioral of gate_changel is B
  • 페이지 7페이지
  • 가격 2,000원
  • 등록일 2011.06.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
REPORT <2x4 디코더, 4x2 인코더> 1. 2x4 디코더(복호기) entity decoder2x4 is port(A : in std_logic_vector(1 downto 0); (Y : out std_logic_vector(3 downto 0)); end decoder2x4; architecture Behavioral of decoder2x4 is begin process(A) begin case A is when "00" => Y <= "0001"; when "01" =>
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2011.06.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
in the classroom. NJ: LEA. 95-121. I. 교사 기대와 학생 행동 II. 교사의 기대 형성에 영향을 미치는 요인 1. 학생 변인 2. 교사 변인 III. 가설 및 연구 문제 IV. 연구 방법 1. 피험자 및 도구 2. 실험 설계 V. 결과 VI. 요약 및 논의
  • 페이지 14페이지
  • 가격 2,300원
  • 등록일 2002.08.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
화학공학실험법 / 한국화학공학교육연구회 / 형설출판사 / 1982 / p71-73 @ 단위조작 / 허광선 외 4명 / 선문당 / 1995 / p271-272 @ 단위조작 / 이화영 외 2명 / 아카데미 / 1998 / p579-580 @ 단위조작 / 이근배 외 3명 / 형설출판사 / 1985 / p260-294, p344-350 Sub
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2007.09.19
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 목표 <실험 1> <실험 2> 사용 부품 <실험 1> <실험 2> 관련이론 1. 불 대수(Boolean algebar) 2. 논리 게이트 3. 드 모르간의 정리 <드모르간의 제 1정리> <드모르간의 제 2정리> * 출처 실험 순서 <실험 1 - 논리 연산> <실험 2 - OR 및 X
  • 페이지 6페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
002 / P 455~485 2. 열전달 / 강영구 / 동명사 / 1998 / P 1~2, 205~210 3. 화공열역학 / Stanley I, sandler / 사이택 미디어 / 2001 / P 82~85 ■ 실험제목 ■ 목 적 ■ 이 론 ● 열교환기의 정의 및 종류 ● 대수 평균 온도차 (LMTD법) ● 열 교환기의 해석
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2007.09.19
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top