|
REPORT
<2x4 디코더, 4x2 인코더>
1. 2x4 디코더(복호기)
entity decoder2x4 is
port(A : in std_logic_vector(1 downto 0);
(Y : out std_logic_vector(3 downto 0));
end decoder2x4;
architecture Behavioral of decoder2x4 is
begin
process(A)
begin
case A is
when "00" => Y <= "0001";
when "01" =>
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
0으로 변할 때)가 입력 전압이 1에서 0으로 변할 때(출력 전압이 0에서 1로 변할 때)보다 지연 시간이 더 길다.
(4) 게이트를 사용하여 만든 2x4 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 2x4 회로도를 설계하라.
디코더는
|
- 페이지 3페이지
- 가격 1,300원
- 등록일 2014.04.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
2x4 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 2x4 회로도를 설계하라.
디코더는 nm2ⁿ의 각 입력코드가 특정한 출력 코드를 생성하는 n비트 입력 코드에서 m비트 출력 코드로의 변환이다. 즉, 출력의 개수가 증폭이라고
|
- 페이지 4페이지
- 가격 800원
- 등록일 2013.11.30
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
2X4 디코더의 기능에 대해 설명하고 그 정의에 따라 진리표를 만들고, 2X4 회로도를 설계하라.
디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로를 말한다. 일반적으로 디코
|
- 페이지 9페이지
- 가격 6,300원
- 등록일 2015.07.31
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
2x4 디코더를 설계하고, 진리표에 빚대어 그 동작을 확인해 보았다.
(2) 설계사양에 따라 설계실습계획서에서 설계한 회로가 실제 구현되었을 때에도 설계사양을 만족하는가?
그렇지 않았다면 그 이유를 분석하라.
전제적으로 설계실습에서
|
- 페이지 6페이지
- 가격 1,000원
- 등록일 2013.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|