|
REPORT
<2x4 디코더, 4x2 인코더>
1. 2x4 디코더(복호기)
entity decoder2x4 is
port(A : in std_logic_vector(1 downto 0);
(Y : out std_logic_vector(3 downto 0));
end decoder2x4;
architecture Behavioral of decoder2x4 is
begin
process(A)
begin
case A is
when "00" => Y <= "0001";
when "01" =>
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|