• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 562건

is port(a, b, bi : in std_logic; di, bo : out std_logic); end full_sub; architecture behav of full_sub is begin process (a, b, bi) begin di <= a xor b xor bi; bo <= ((not a) and b) or ((not a) and bi) or (b and bi); end process; end behav; [실험7] => 순차회로 ■ Decoder 4 to 16 ■ Ring Count
  • 페이지 13페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 소자 특수한 목적의 기기를 제어하는 역할 논리적인 AND, OR, XOR 등의 연산을 비트 단위의 연산을 수행 마이크로컨트롤러의 구성 버스(주소 버스, 데이터 버스, 제어버스) 중앙처리장치( CPU) 메모리 장치(RAM, ROM) 입출력장치 인터
  • 페이지 20페이지
  • 가격 2,500원
  • 등록일 2015.12.19
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ACC(Accumulator)  ALU(Arithmetic Logical Unit)  CONTROL BLOCK < 프로젝트명 - 4bit microprocessor > - 4bit의 기능블럭 밎 각각의 기능 - 4bit의 내부블럭도 - ALU와 ACC의 블럭도 및 설계도 - 4Bit up의 Top-Level 회로도 - 시뮬레이션 결과
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2010.03.02
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험을통해서 타임영역에서 영상을 처리하는 가장 기본적인 방법을 습득했다. 부수적으로 Sram에대해 이해하였고 프로젝트를 해결해나가면서 한단계한단계 순차적으로 문제를 해결하는 방법을 배웠다. 참고문헌 [1] Using the New Verilog-2001 Standa
  • 페이지 28페이지
  • 가격 3,300원
  • 등록일 2013.05.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
출력값을 Display 하는 회로를 조별로 자유롭게 설계하시오) (1) 설계하고자 하는 패턴 인식 동기 순서 논리회로를 위한 state/oupput table을 작성하시오. (2) 설계하고자 하는 패턴인식 동기순서 논리회로의 transition/output table을 작성하시오. 
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2010.03.30
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로이며, 무어 머신 회로란 출력이 현재 상태만으로 결정되는 회로이다. 즉, 밀리 머신은 상태도를 표시했을 때 출력이 화살표에 들어가야하는 반면, 무어 머신은 출력이 원안에 표시된다. 1. 목적 2. 이론 3. 예비보고 4. 실험 기기 및 부
  • 페이지 10페이지
  • 가격 1,500원
  • 등록일 2021.01.07
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이번 실험은 어떠한 상태도를 보고 천이표를 그리고 그 천이표에 따른 카노맵을 만들어 현재 상태에 대한 다음 상태의 식을 구하고 그 식을 통해 D플립플롭으로 회로를 설계한 뒤, 그 회로를 브레드보드에 구성하여 결과값을 얻는 복잡하고
  • 페이지 4페이지
  • 가격 1,500원
  • 등록일 2021.01.07
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
and lcd_state < X"06") or (lcd_state = X"16") else '1'; LCD_EN <= not lcd_cnt(0); LCD_D <= lcd_db; End design; 5) Discuss how you test it and provide the code 이번 실험에서는 시뮬레이션으로 확인하는 것이 아니고 KIT를 사용하여 확인한다. KIT 동작을 위한 UCF 파일 코드는
  • 페이지 27페이지
  • 가격 2,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험이었다. 언뜻 보면 어렵고 복잡하다 느낄 수 있지만 상태도를 정확히 그려낼 수 있다면 지난번 실험과 크게 다를 바 없이 상태에서 상태로 변환과 출력만 잘 잡아주면 되는 문제였다. 다만 KIT의 LED부분에 문제가 있었는지 어느 것도 제대
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험의 타당성 : NOR게이트면 OR의 반대값으로 NAND게이트면 AND의 반대값으로 출력되었으며 Bool대수의 정리, De Morgan의 정리, 카르노 맵 게이트, Exclusive-OR게이트 의 정리에 따라서 값이 적절하게 나왔다. - 고찰 빵판의 한 구멍에서 여러곳으로 연
  • 페이지 4페이지
  • 가격 9,660원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이전 48 49 50 51 52 53 54 55 56 57 다음
top