|
Gate (74LS32) 1개
- Hex Inverter (74LS04) 2개
- Quad 2 Input NAND Gate (74LS00) 2개
- Quad 2 Input NOR Gate (74LS08) 2개
- Quad 2 Input XOR Gate (74LS86) 2개
- 점퍼선 다수
3. 설계 실습 계획서
(1) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를
|
- 페이지 4페이지
- 가격 1,000원
- 등록일 2010.03.30
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
. 예비보고서 및 결과보고서가 같이 들어 있습니다.
1.Logic gates
2.Logic gates-TTL gates와 deMorgan의 법칙
3. RS-Latch 및 D-LAtch
4.JK flip-flop
5.Shift Register
6. 이진계수기
7. 십진계수기
8. Decoder와 Encoder
9. 덧셈회로(Adder)
10.OP AMP
|
- 페이지 92페이지
- 가격 3,000원
- 등록일 2004.04.29
- 파일종류 압축파일
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
디지털 신호를 다룸에 있어 인버터로 사용할 수 있다.
(2) NOR gate
입력 == 0V, ==5V 입력 =0V =5V, =5V =0V
▶ NOR gate 구성 회로이다. 시뮬레이션 파형의 위쪽 2개가 입력 아래쪽이 출력이다. 입력의 네 가지 경우에서 ==0V일 때만 , 모두 Cut off되어 출력이
|
- 페이지 4페이지
- 가격 2,300원
- 등록일 2014.03.16
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
gate)에 의한 전파 지연>
4. Data & Result
(1) VHDL code
1. transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2.
|
- 페이지 6페이지
- 가격 4,200원
- 등록일 2012.12.17
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
부울함수의 정규형(최소항의 합형태)을 구하시오.
(2) 진리표에 해당하는 카르노 도표를 그리시오.
(3) 3.(2)번에서 작성한 카르노 도표를 이용하여 간소화된 부울함수를 구하시오.
(4) 3.(3)에서 간소화된 함수로 논리회로도를 작성하시오.
|
- 페이지 6페이지
- 가격 4,500원
- 등록일 2024.06.16
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리적인 조합에 의해서만 결정됩니다.
메모리(기억 소자)가 없습니다.
시간적인 개념(순서)이 중요하지 않습니다. 1. 서론: 디지털 논리 회로의 중요성
2. 본론
1. 조합 논리 회로(Combinational Logic Circuit)의 개념 및 특징
|
- 페이지 8페이지
- 가격 2,000원
- 등록일 2025.07.11
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
실험순서 3. (입력 논리 레벨과 발생기 결선)
펄스 발생기를 이용하여 리딩 에지 트리거로 one shot을 트리거할 필요가 있다고 가정하자.
A1,A2 그리고 B에 대한 결선을 결정한다.
입력 논리 레벨과 펄스 발생기 연결에 대하여 기술하고 회로를 구
|
- 페이지 8페이지
- 가격 2,500원
- 등록일 2024.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로의 특성들인 물리량을 누구나 손쉽게 측정할 수 있또록 만들어진 도구이며 이번 실험에서는 디지털 형 멀티미터를 사용하였다. 디지털형 멀티미터는 액정 디스플레이 장치를 가지고 있으며 다이얼 스위치를 돌려서 측정하고 싶은 물리
|
- 페이지 6페이지
- 가격 3,000원
- 등록일 2024.04.22
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
게이트는 인버팅 게이트가 아니므로 대치기호에만 입출력 양쪽에 작은 원을 가진다.
4. 참고문헌
1. 디지털공학개론 교안
2. 2011년 한경대학교 김수찬 교수 디지털공학 강의 교안
(/http://www.kocw.net/home/search/kemView.do?kemId=334780) 1.논리기호의
|
- 페이지 5페이지
- 가격 2,000원
- 등록일 2023.06.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로에 연결되어 있는 상태에서는 다이얼 스위치를 돌리지 말아야 한다.
② Ohm's law
옴의 법칙(Ohm's law)은 도체의 두 지점사이에 나타나는 전위차(전압)에 의해 흐르는 전류가 일정한 법칙에 따르는 것을 말한다. 두 지점 사이의 도체에 일정
|
- 페이지 8페이지
- 가격 1,800원
- 등록일 2022.04.01
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|