시뮬레이션 결과
1) flow summary
2) waveform
3) time analyzer summary
3. 블록다이어그램
1)게이트
2)블록
◆ for loop
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity l_nand is
port( a,b : in bit_vector(3 downto 0);
y : out bit_vector(3 downto 0));
end l_nand;
architecture test of l_nand is
낼 수 있다는 것을 알 게 되었다.
7.Appendix
참고문헌 : 전기전자기초실험 , 대한전자공학회편 , 청문각
Fundamentals of Electric circuits , McGRAW-HILL 1. Title
2. Name
3. Abstract
4. Background
5. Simulation
6. Experimental Results
6. Analysis
7. Appendix
시뮬레이션 결과에 대한 기술통계량을 보여주고 있다.
NPV 최소 값으로는 19,335,870,000원, 최대값은 55,327,460,000원, 평균값은 17,204,620,000원, 표준편차 11,083,570,000원, 외도는 0.3342264, 첨도 3.060628, 중앙값은 13,091,960,000원으로 나타났다.
<그림1>
시뮬레이션과 Matlab/Simulink 시뮬레이션 결과
<그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink
시뮬레이션 결과
<그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과
<그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험
시뮬레이션 결과
가) Hamming encoder
<그림4-10. Hamming encoder 타이밍도>
해밍 코드의 알고리듬에 따라 00101100의 입력이 001011100011의 encoding 된다.
나)Hamming decoder
<그림4-11. Hamming decoder 타이밍도>
해밍 코드의 알고리듬에 따라 오류가 없을 것