• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 10건

회로 KA4558 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아날로그 신호값들을 통신 회로를 통해 실시간으로 모니터링 할 수 있게 한다. <그림 4-16> 시스템 구성도 4.5.3 시뮬레이션 ○ 모터의 파라미터 선정 정격용량() = 19.9[kW] 정격전압() = 260[V] 정격전류() = 90[A] 정격속도() = 1850[rpm] 1850×=193.728[ra
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로내장, 리튬폴리머전지 ㆍ5.6 x 24 x38mm의 소형사이즈, 무게 10g, Cell 3.7V/500mA ㆍ소형이면서 대용량 ㆍ직·병렬연결하시면 대용량으로 로봇제품에 사용하기 가장적합한 제품 3.1.8 무선모듈 XBee Pro [표 3-9] 무선모듈 Xbee Pro 사 진 내 용 ㆍISM 2.4 GHz
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털 액자 제작을 위한 하드웨어 구현과 소프트웨어를 설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계, 부경대 대학원 2006 석사 논문 , 국회도서관 DLL 석사논문 자료실. <9> 백 동
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
digital http://www.kps.or.kr/~pht http://blog.naver.com/limnico http://www.withche.com/main http://blog.naver.com/ejawa75 http://www.lgphilips-lcd.com/homeContain/jsp/kor/tech 기술정보 Samsung electronics (LTPS TFT LCD / Hyun Jae Kim) LG electronic - 이형수 TFT-LCD Reaserch Center, KyungHee Univ. -
  • 페이지 30페이지
  • 가격 3,000원
  • 발행일 2010.01.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도는 www.lancos.com에서 쉽게 구할 수 있고 설명이 되어 있어 쉽게 했다. 7) 테스트시에 밧데리 소모가 많은데 비해 충전기가 없어서 충전 못함. 밧데리를 연결 한 결과 1분도 안되어서 다 방전 되었다. 충전을 해야 하는데 인터넷을 뒤졌으나
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 합성기를 이용한 PLL 모듈 설계 및 제작, 충남대학교 석사학위논문, 2003 [5] 하경수, DDS/PLL Hybrid 주파수 합성기 설계 및 제작, 충남대학교 석사학위논문, 2004 [6] 김용, C-Band용 VCO의 설계 및 제작, 충남대학교 석사학위논문, 1999 [7] 황수설, S
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로를 자극하는 자바 학습법)”, 한빛미디어, November 2005. H. Schulzrinne , “RFC 1889 and 3550: A Transport Protocol for Real-Time Applications”, Audio-Video Transport Working Group, January 1996. H. Schulzrinne, “RFC 1890: RTP Profile for Audio and Video Conferences with Minimal Control”, Au
  • 페이지 29페이지
  • 가격 3,900원
  • 발행일 2008.10.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 다음
top