• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6건

<="1101"; wait; end process; end; 7. 시뮬레이션 결과 ① 입력모드 확인 ② 수정모드 확인 ③ 알람 확인 1 ④ 알람 확인 2 1. 도어락의 개요 2. 입력, 출력 및 상태 3. 동작원리 4. 다이어그램 5 소스 코드 6. Test Banch 코드 7. 시뮬레이션 결과
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2014.02.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ⅰ. 목 표 디지털 도어락(Digital Door Lock)은 뛰어난 보안과 편의성으로 도어의 잠금장치로써 널리 쓰이고 있다. 이 문서에서는 AVR ATmega128을 이용하여 이러한 디지털 도어락을 제작해보려 한다. 키패드, CLCD, 서보모터, 스위치 등을 이용하여 디
  • 페이지 40페이지
  • 가격 9,000원
  • 등록일 2016.08.07
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
렇게 대범해 질 수 있었을까? 누가 한 말인지 모르겠지만 이런 말도 있지 않은가 “오늘 내가 산 하루는 어제 죽 은이가 그토록 바랬던 내일이었다” 루디와 마틴이 정말 진정으로 하루 더 살아서 그토록 바랬던 바다를 보러 가고자 하는 맘
  • 페이지 2페이지
  • 가격 700원
  • 등록일 2007.02.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털 도어락 러시아 UAE 진출방안 Prologue ╋━━━━━━━━━━───────── DIGITAL DOOR LOCK  ≪ 사 진 ≫ 차세대 잠금장치 세련된 디자인, 외부 인테리어 비밀번호, 카드, 원격제어, Keyless doorlock 구현 첨단 방범
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2014.05.24
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top