|
logic;
G, P, Sum : out std_logic);
end component;
component Lookahead_carry_generator
port(G, P : in std_logic_vector (3 downto 0);
Ci : in std_logic;
m : in std_logic;
C : out std_logic_vector (4 downto 1);
PG, GG : out std_logic);
end component;
begin
B_sig(0) <= B(0) Xor m;
B_sig(1) <= B(1)
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
1 1 0
1 1 0
0
1
0
1
A0
1 1 1
1 1 1
0
1
1
0
A0
※첨부한 회로도는 PISPICE에서 아날로그 소자를 디지털소자로 대체한 회로도입니다.
실험 결과 : 2비트 비교기 (A≥B) 에 대한 결과 사진
1 0 1 1 => 0 0 1 0 1 => 1
0 0 0 0 => 1 1 1 1 1 => 1
결과 및 결론 : 이
|
- 페이지 4페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
대로 출력되는 것 뿐이었지만 다른 출력값을 확인해 보기 위해서 여러 가지 s 값을 시도하였고 아쉽게도 7segments는 제대로 구현되지 못했지만 led상에서는 제대로 작동하고 있어 의미가 있는 실험이었다.
입력을 인가하는 과정도 저장된 입력
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
을 알 수 있다.
FFT plot
발진 주파수 1.1668kHz에서 Loop gain Av = 14.455/15 = 0.963 (대략 1)이 됨을 알 수 있다.
▣ 그림 2와 같이 다이오드를 사용하여 Wien bridge 발진기를 안정화 할 수 있다. Wien bridge 발진기의 출력을 안정화하는데 다이오드가 어떤 역할
|
- 페이지 4페이지
- 가격 1,300원
- 등록일 2014.04.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
uad 2 Input OR gate (74LS32) 5개
- Quad 2 Input XOR gate (74LS86) 2개
- 4-bit binary adder(74LS83) 1개
- LED 10개
- Toggle switch 15개
- 점퍼선 다수
3. 설계실습 계획서
(1) 전가산기에 대한 진리표를 작성하라.
A
B
Cin
S
Cout
0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
0
1
1
0
1
1
0
0
1
0
1
0
1
0
1
1
1
0
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.11.30
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
대책을 세우기 어려운 경우는 거의 없는 것 같다. 그럼에도 불구하고, 이번 실험에서는 그 원인을 빠른 시간에 잘 찾아낼 수 있어서 자칫 어려워 질수도 있었던 실험을 아무런 어려움 없이 끝낼 수 있게 되었다. 결과도 만족스럽고, 전체적인
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
대응해서 소자표면에 전하가 발생하여 출력이 Source단에서 나온다. 회로의 안정적인 동작을 위하여 Pull-down Resistor로 R3을 사용하였으며, 그 출력신호를 Active HPF를 통해 걸러내 Op-Amp를 이용하여 10000배의 반전 증폭을 시켜서 백색 LED에 불이 들
|
- 페이지 3페이지
- 가격 1,300원
- 등록일 2014.04.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
출력이 오른쪽으로 shift 됨
5
H
H
H
a
b
c
d
핀 A,B,C,D 에 각가 들어간 입력이 QA,QB,QC,QD로 각각 출력됨
(3) ULN2003AN IC의 data cheet을 인터넷에서 찾아서 계획서에 첨부하시오. Data sheet을 바탕으로 2개의 BJT와 3개의 저항으로 이루어진 각 Darlington Pair의
|
- 페이지 2페이지
- 가격 1,300원
- 등록일 2014.04.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
측정한 VGS, ID으로 3.2를 참고하여 , , , 의 값을 구하여라
=
=
:
=
=
:
▣ 4.6 4.2에서 기록한 것을 그래프(excel 이용)로 나타내어라. 그래프가 이론부의 그림 11.3과 같은가? 같지 않으면 그 원인을 분석하여라. 그래프 상에서 Vth, Noise margin을 측정
|
- 페이지 5페이지
- 가격 1,300원
- 등록일 2013.07.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
대한 2-level AND-OR(NAND-NAND) 또는 OR-AND(NOR-NOR) 로직 회로를 설계하여라.
2-level AND-OR(NAND-NAND) logic 회로도
(4) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계하여라.
= + + + =
= + + +
= ( + ) + (+)
= () +
다단계 조합 논리 회로도
(
|
- 페이지 4페이지
- 가격 1,300원
- 등록일 2014.04.15
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|