|
01" =>y<=a and b;
when "10" =>y<=a nor b;
when others =>y<=a xor b;
end case;
end process;
end test;
2. 시뮬레이션 결과
1) flow summary
2) waveform
3) time analyzer summary
3. 블록다이어그램
1)게이트
2)블록
◆ for loop
1.소스
library ieee;
use ieee.std_logic_1164.all;
entity l_
VHDL 설계 문법적용, VHDL 설계 언어 시뮬레이션, ex2, if, 다중 if, memory if, case, for loop, when else, whenelse 연습, with_select - 소스, 시뮬레이션, 블록다이어그램),
|
- 페이지 26페이지
- 가격 3,300원
- 등록일 2014.01.15
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
01
11
10
00
0
0
0
0
01
0
0
0
0
11
1
0
0
1
10
1
1
0
1
∴
00
01
11
10
00
0
0
0
0
01
0
0
0
0
11
1
0
0
1
10
0
0
0
0
∴
00
01
11
10
00
0
0
0
1
01
0
0
0
0
11
0
0
0
1
10
0
0
0
1
∴
00
01
11
10
00
0
1
0
0
01
0
0
0
0
11
0
0
0
0
10
0
1
0
0
∴ ■ 실험 목표
■ 사용 부품
■ 관련이론
■ 실험
|
- 페이지 9페이지
- 가격 2,000원
- 등록일 2010.04.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
01월
[2] 하드웨어 엔지니어를 위한 VHDL
박 세 현 | 그린 | 1998년 02월
[3] Real XILINX FPGA World
김 혁 | 엔트미디어 | 2006년 04월
[4] ISE를 이용한 VHDL 및 FPGA 실습
김 재 철 | 홍릉과학출판사 | 2005년 02월
[5] FPGA DESIGN 이론 및 실습
DAVID VAN DEN BOUT | 김만복
|
- 페이지 36페이지
- 가격 2,000원
- 등록일 2007.01.30
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
10p
◇◇ 컴퓨터 시스템의 구성
◇ 하드웨어
◇ 소프트웨어
◇ 퍼스널 컴퓨터(Personal Computer)
◇ 하드웨어, 펌웨어, 소프트웨어
◇ 컴퓨터에서 사용되는 시스템 자원 리소스
◇ 중앙처리장치 (CPU)
◇ CPU 의 구조
◇ CPU의 종류
◇ CPU 의
|
- 페이지 52페이지
- 가격 3,000원
- 등록일 2014.05.03
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
01" when "00",
"0010" when "01",
"0100" when "10",
"1000" when others;
end sample; 1. VHDL(Very High Speed Integrated Circuit Hardware Description Language) 특징
2. VHDL 규칙과 표현
3. Entity 선언과 Architecture Body 선언
4. 객체(Object)와 자료형(Data Type) 및 연산자(Operator
|
- 페이지 15페이지
- 가격 1,500원
- 등록일 2003.10.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|