• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 141,981건

and Sons. Little, R. J. A. and Rubin, D. B.(1987), Statistical Analysis with missing data, John Wiley and Sons. Yates, F.(1981), Sampling Methods for Censuses and Surveys, 4th edition. Ⅰ. 서 론 Ⅱ. 현행 농가경제조사를 위한 데이터 분석 1. 현행 표본설계 요약 2. 현행 표본 데이
  • 페이지 18페이지
  • 가격 2,900원
  • 등록일 2008.08.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
10 6. An Analysis of CSA using MAX+plus II 11 6.1 Simulation with Wavefirn Editor 11 6.2 Timing Analyzer, Delay Matrix 13 7. VHDL with Xilinx ISE 6 Project Navigator 14 Appendix 16 1. A Figure of *.Gdf file with MAX+plus II 16 2. Rough Code with VHDL 20
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2011.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
때의 차이를 구별하면서 기능표를 확인할 수 있었다. 1. 목적 2. 이론  가. 반가산기(Half Adder)  나. 전가산기(Full Adder)  다. 산술논리 연산장치(Arithmetic and Logic Unit, ALU)  라. 7-세그먼트 디코더 (7-Segment Decoder) 3. 예비보고
  • 페이지 7페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
2) 2인 이상의 저자가 쓴 저작이나 그들에 관한 저작의 조합과정 2. 분류번호의 조합공식 1) 문학에 관한 저작(본표 ; 본표 + T6 혹은 T3B) 2) 개인저자의 저작이나 개인저자에 관한 저작(본표 + T3A) 3) 2인 이상의 저자가 쓴 저작이나 그들에 관한
  • 페이지 19페이지
  • 가격 7,500원
  • 등록일 2009.08.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1명(2009) / 공기업 경영 평가 제도의 성과 분석, 서울대학교 Ⅰ. 인터넷기업평가 1. 브랜드 가치 2. 고객 가치 3. 기술 가치 4. 조직 가치 Ⅱ. 중소기업평가 1. 평가 전략 1) 평가 기준 2) 평가 절차 2. 컨소시엄 평가 결과 1) 직업훈련의
  • 페이지 9페이지
  • 가격 6,500원
  • 등록일 2013.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1. 머리말 2. 문서기반 분류의 방법 3. 하이퍼링크 정보를 이용한 분류 방법 4. 하이퍼링크 정보를 이용한 실험대상 및 방법 4.1 실험대상 4.2 수집한 URL에 의한 웹사이트와 카테고리의 표현 4.3 URL에 의한 유사도 계산 5. 실험 결과분석
  • 페이지 8페이지
  • 가격 2,500원
  • 등록일 2008.08.18
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
들어가는 말 한국 기독교는 성장을 거듭해 오다가 21세기에 이르러 루이스 람보(Lewis Rambo)가 말하는 세대 평가(retroversion)를 접하면서 침체를 이어오고 있다. 기독교인이나 비기독교이나 공감하는 침체의 원인 중에 하나는 대형 교회의 사유
  • 페이지 23페이지
  • 가격 17,000원
  • 등록일 2017.08.16
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1989. http://100.naver.com/ 네이버 백과사전. http://kr.yahoo.com / 야후 백과사전. 1. 중국불교 - 얽혀 있는 두 힘 - II. 중국불교 성립의 역사적 성격 III. 중국의 시대적 요청 - 불교의 전래 - III - 1. 전래에 관한 전설 III - 2. 전래의 실제 III - 3. 제
  • 페이지 23페이지
  • 가격 3,000원
  • 등록일 2003.10.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
버스의 회선 수는 레지스터의 비트 수, 연산 장치의 병렬 연산 비트 수와 같게 만든다. ※ 참고 : http://kmh.ync.ac.kr/comIntro/comarch/com-54.html 1. cpu의 기능 2. 레지스터와 플래그 3. 플래그 4. 레지스터 5. 연산장치 6. 내부버스
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2010.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
logic_1164.all; entity bcd_one is PORT( Q : in std_logic_vector(3 downto 0);--입력변수 4개 A,B,C,D,E,F,G : out std_logic);--출력변수 7개 end; architecture arch_bcd_one of bcd_one is signal H,I,J,K,L,M,N : std_logic;--식의 반복을 피하기 위한 SIGNAL 변수 begin H <= (not Q(3)) and (not Q(2)) and
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2004.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top