• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,342건

논리회로때 배운 카운터를 이용하여 커피자판기를 설계하였다 안에 내용은 맥스플러스용 파일뿐 설명파일은 없다 하지만 맥스플러스가 있다면 누구나 이해하기 쉽도록 설계되어있다 맥스플러스 프로그램 필요 
  • 페이지 5페이지
  • 가격 1,500원
  • 등록일 2004.11.15
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital Watch Tool : Altera QuartusⅡ Device family : CycloneⅡ Device : EP2C50F672C6 Hardware : Altera DE2 Board - 목표 - vhdl을 활용하여 디지털 시계(Digital watch)를 설계한다. - 기능 - 1. 일반적인 시계 기능 : 셋팅 가능. 2. 알람 기능 : 해당 설정 시간에
  • 페이지 21페이지
  • 가격 4,000원
  • 등록일 2012.08.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하는 실험이었다. 지난 번 실험 때는 vhdl이라는 것이 너무나도 생소하였고, model sim이나 xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
진열 시스템 2.3.3 결제 시스템 2.3.4 보안 시스템 2.3.5 안내 시스템 2.3.6 위생 시스템 3 고찰 3.1 미래형 무인 편의점 설계 3.1.1 상품 진열 3.1.2 구매 및 결제 3.1.3 보안 3.1.4 안내 3.1.5 관리 3.2 해결해야 할 과제 4 결론 참고 문헌
  • 페이지 22페이지
  • 가격 3,000원
  • 등록일 2011.06.28
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
<='0'; when "100" => muxout <= minlow; dp <='1'; when others=> muxout <= minhigh; dp <='0'; end case; end process; process(cnt) begin case cnt is when "000" => p_out <= "011111"; when "001" => p_out <= "101111"; when "010" => p_out <= "110111"; when "011" =>
  • 페이지 11페이지
  • 가격 2,300원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL을 이용하는 것이 아직은 익숙하지 않다. 하지만 한 학기 동안 하다보면 많이 도움이 될 것같다. 수업시간에 배우는 이론을 이 시간에 실습하게 되어 좋은 것 같다. ·실험주제 ·실험소스와 회로도 ·실험과정 ·실험결과 ·실
  • 페이지 4페이지
  • 가격 1,500원
  • 등록일 2011.06.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계를 할 수 있는 표준 언어다. 모든 것은 장점과 단점을 가지고 있습니다. 단점에 비해 많은 장점을 가지고 있습니다. Schemetic으로 구현할 수 있는 회로는 약 5만 게이트 정도입니다. 손으로 직접 그리는 것보다는 VHDL을 이용해 시간과 비용을
  • 페이지 4페이지
  • 가격 500원
  • 등록일 2003.10.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용하면 프로그램을 매우 간단 수월하게 만들 수 있을 것이다. coffee 자판기 FSM을 통하여 목적성을 가진 프로그램의 모델링을 해보았으며 VHDL을 이용한 machine들이 어떻게 구동하는지 어떤 원리로 작동하는지 알게되었다. 1. 예비조사 및
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
사용하다 보니 익숙하지 않아 많은 시행 차고를 겪었고 앞으로 언어 공부를 함에 있어서 많은 도움이 된 것 같다. 1.설계 사양 및 기능 2.입출력 포트 3.전체 블럭도 및 구조 4.소스코드 설명 5.시나리오 6.시뮬레이션 7.결론 및 고찰
  • 페이지 29페이지
  • 가격 1,000원
  • 등록일 2014.12.23
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계 목표는 그것을 이용하는 사람이 불편하지 않도록 느끼게 하는 것이라고 생각한다. 자판기도 마찬 가지이다. 자판기를 사용할 때 허리를 굽히거나 쪼그려 앉는 행동들이 불편하다고 느낀 사람이 많을 것이다. 사소한 부분이지만 이런 부
  • 페이지 17페이지
  • 가격 2,800원
  • 등록일 2012.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top