• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 125건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 ◎VHDL이란? (VHSIC Hardware Description Language:3) 1.1 VHDL의 출연 배경 1.2 VHDL을 왜 사용하는가? 1.2.1 강력함과 유연성 1.2.2 소자 독립적인 설계 1.2.3 이식성 1.2.4 벤치마크 능력 1.2.5 ASIC Migration 1.2.6 빠른 시장 출하 및 저비용 VHDL의 특징 ●
  • 페이지 5페이지
  • 가격 3,360원
  • 등록일 2013.10.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 결과 A4 A3 A2 A1 = 1100 + B4 B3 B2 B1 = 1100 CIN = 0 COUT SUM[1] SUM[2] SUM[3] SUM[4] 11000 ▼ 진리표를 사용한 계산 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 n = 1 : =0, =0, =0 진리표의 첫 번째 줄로부터 =0이고 =0 n = 2 : =0, =0, =0 진리표의 첫
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계에 앞서 동작 알고리즘을 작성한 뒤에 각각의 상태에서 동작 알고리즘을 만족하도록 코딩을 시작했습니다. 무작정 코딩을 통해 구현하는 방법보다는 정해진 순서를 통해 구하는 방법이 수월하였습니다. 상태 변수 할당 및 VHDL 문법을 통
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
riable에서는 variable m은 signal b와 c로 즉시 바뀌는 것을 볼 수 있다. ◆ if 1. 소스 library ieee; use ieee.std_logic_1164.all; entity iff is port(a,b,c : in bit; y : out bit); end iff; architecture sample of iff is begin process(a,b,c) begin if (c = '1') then y <= a nand b ; else y <= a or b;
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
시한폭탄 설계내용 입니다. VHDL로 설계한 시한폭탄
  • 페이지 5페이지
  • 가격 10,000원
  • 등록일 2008.12.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2014.02.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
f door_lock_mod_tb is component door_lock port ( clk : in std_logic; rst : in std_logic; ps_start : in std_logic; ps_end : in std_logic; ps_mod : in std_logic; ps_num : in std_logic_vector (3 downto 0); door_open : out std_logic; alarm : out std_logic ); end component; signal clk : std_logic; signa
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100 - 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39 1. 설계목표 2. 설계내용 3. 코드 4. 코드설명 5. 시뮬레이션 결과 6. 결과 토의
  • 페이지 6페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top