• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 38건

도트매트릭스 구현 display 함수 호출 display(); } 9. 작품 사진 큐브 사진 위에서 본 큐브 SW와 ISP케이블 측면 SW와 ISP케이블 바닥 볼캐스터 부분 큐브 측면 배터리 사진(탈부착 가능) 내부 전체적인 모습 3축 가속도 내부 장착 사진 MCU내부 장착
  • 페이지 35페이지
  • 가격 8,000원
  • 등록일 2013.09.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. 작품명 -조종 드로잉로봇 2. 작품 개요 및 동작 이번에 배우는 atmega128은 포트가 많기 때문에 다양한 기능을 활용할 예정이다. 스텝모터와 서브모터를 활용하여 그림의 출력 기능으로 활용하고, 도트매트릭스와 조이스틱을 이용하여 원
  • 페이지 24페이지
  • 가격 9,000원
  • 등록일 2020.04.16
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
때 cursor_count++; if(cursor_count>100) {//준비화면 설정 cursor_count=0; if(cursor>=64) cursor=cursor;//준비화면 설정 else cursor++; } } else cursor = 0; display();//도트매트릭스 구현 display 함수 호출 } . 알고리즘 작품개요 플로어차트 작품사진 소스
  • 페이지 29페이지
  • 가격 25,000원
  • 등록일 2013.09.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
. MCU : 1개의 칩 내에 CPU, 일정용량의 메모리 입출력 제어 인터페이스까지 내장된 것을 마이크로콘트롤러, 즉 MCU라고 부른다. 순서도 AVR이란 도트매트릭스란 전광판 구현 과정 타이밍 차트 및 소스 설명 수정한 소스(Shift) 질의응답
  • 페이지 24페이지
  • 가격 3,000원
  • 등록일 2011.04.15
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ntity div1 is port( clk: in std_logic; in_data: in integer range 0 to 999; o_data: out std_logic_vector(15 downto 0); --o_data_100: out std_logic_vector(3 downto 0); --o_data_10: out std_logic_vector(3 downto 0); --o_data_1: out std_logic_vector(3 downto 0); o_cmplt: out std_logic ); end div1; archi
  • 페이지 50페이지
  • 가격 3,500원
  • 등록일 2007.12.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top