• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,371건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한 합성을 통하여 설계된 ALU가 정상적으로 동작하는지 여부를 확인해보는 것 이였습니다. 전반적인 Code에 조건문으로 IF문을 많이 사용하였고, 각 블록 단위로 코딩을 실시하
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL code 1. transport delay library ieee; use ieee.std_logic_1164.all; entity bool_func is port ( x : in std_logic; y : out std_logic ); end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 3. Theory 4. Data & Result (1) VH
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용하여 수행하였는데, “cin"과 그룹화 시켜서 ”00000“에서 ”11111“ 순서로 입력이 들어가게 하였다. - 이 회로도가 지금까지 짜여진 VHDL 코딩에서 얻어낸 심볼로 "lastalu"를 설계한 회로도 이다. 결론 - 이번 연산은 산술뿐만 아니라 논리 연
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 131건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
: 16 ㎝/s 이상 150 ㎝/s 미만 사용환경 :  -20 ℃ ~ 50 ℃ 설치 및 사용이 용이하고 외부 이물질로부터 차폐될 것. 연구목적 완강기의 정의 - 문제점과 개선방향 설계 - Solid Edge를 이용한 3차원 모델링 제작 고찰
  • 페이지 11페이지
  • 가격 2,000원
  • 발행일 2008.12.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분해능이 다르기 때
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계와 동시에 해석을 하는 프로그램은 DAFUL, 이 외에 국내에서 1개뿐이다. 그만큼 희소성이 크고 발전가능성이 있는 프로그램이라고 할 수 있다. 그러나 출시가 된지 얼마 안 되었기에 잦은 패치와 참고할 만한 서적과 자료가 부족하다. 아
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 232건

이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다.
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계 제안서 김성민 김상빈 김지호.hwp…………………………………………………2p 종합설계 제안서 태양광과 벅 컨버터를 이용한 충전시설 설계 ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
자판기를 찾는 경우가 잦다. 자판기는 우리 실생활에서 보이지 않게 침투되어 온것이다. 더불어 미래 지향적 유통기기로서 자판기가 실생활에 어떤 영향을 주는지를 살펴 봄으로써 자판기 실태를 알아보자 1).언제 어디서나 이용할 수 있는
  • 가격 2,000원
  • 등록일 2008.05.26
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계 기술력 향상을 위하여 기술 개발 제품들에 대한 설계 신뢰도 향상 및 설계검증 등 역량을 쌓으며 Background를 구축하겠습니다. 10년 후에는 정직의 가치를 지키며 해외 경쟁사들과 전략적 기술 제휴를 체결하며 선진 기술 도입 및 글로벌
  • 가격 4,000원
  • 등록일 2023.09.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top