• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 24건

xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리를 조금이나마 알게 되었고 그 결과, 이번 실험은 큰 문제 없이 빠른 시간에 해결할 수 있었다
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Xilinx ML310 Board Specification 2.1.1 ML310 Board 2.1.1 Virtex2pro 2.2 Ethernet MAC 연구 2.2.1 Ethernet MAC 원리 2.2.2 Ethernet MAC 스케메틱 및 핀배치 2.3 OFDM MODEM 구현 2.3.1 OFDM MODEM의 원리 2.3.2 OFDM MODEM 구현 2.3.2.1 Mapper & Demapper 2.3.2.2 IFFT & FFT 2.4 UART 구현 2.4.1 FPG
  • 페이지 77페이지
  • 가격 10,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
P : OUT std_logic ); END COMPONENT; --Inputs SIGNAL rst_n : std_logic := '0'; SIGNAL clk : std_logic := '0'; --Outputs SIGNAL DIGIT : std_logic_vector(6 downto 1); SIGNAL SEG_A : std_logic; SIGNAL SEG_B : std_logic; SIGNAL SEG_C : std_logic; SIGNAL SEG_D : std_logic; SIGNAL SEG_E : std_logic; SIGNAL
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
후 read 동작을 수행하게 된다. ⑦ 0100 address에 저장된 값을 읽는다. ⑧ 코딩 결과 값 자체에는 전혀 영향이 없는 구문이며. 단순히 ModelSim simlator에서 메뉴 - view - message viewer를 선택하면 시뮬레이터를 구동하였을 경우 Modelsim 하단부 transcript창에
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ta_out_reg, addr_reg, data_reg, load_operand1, load_operand2, load_plus, load_minus, calculate, operand); …… ⑤ end Behavioral; ① 입출력 포트 벡터 선언. ② lcd_test.vhd 파일의 입출력 포트를 선언. ③ datagen.vhd 파일의 입출력 포트를 선언. ④ 내부 signal 설정. ⑤ lcd_test.vh
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top