• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 12건

인식 시스템 블록도 제 2 절 실험 결과 실시간 손동작 인식 시스템이 잘 작동하기 위해서는 입력되는 영상에서 정확하게 손 영역을 추출하여야 한다. <그림 11>은 제안된 시스템을 적용한 인터넷 서핑의 실행모습을 보여준다. (a)는 Finger pad
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2009.01.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 유전공학은 특정한 유전자를 분자유전학적인 방법으로 분리 또는 합성하여 유전자를 재조합하거나 재조합된 새로운 유전자를 세균 등에 도입하여 특정한 생물활성물질을 다량으로 저렴하게 생산하게 할 수 있어서 이미 선진국들은
  • 페이지 48페이지
  • 가격 3,000원
  • 발행일 2010.02.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302 3장. 2절. 6 KEY PAD 3장. 2절. 7 TSL250RD 3장. 2절. 8 MAX232CSE 3장. 2절. 9 ZigBee 3장. 3절 소프트웨어 설계 3장. 3절. 1 순서
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
동작에 대한 정확한 이해를 할 수 있도록 동작을 탐색하는데도 시간을 할애해야 할 것이며 신체활동을 즐겁게 참여함으로써 기본적인 운동 능력도 기르고 기초 체력을 증진시키며 건강한 정신을 기르고 자신의 신체와 주변 세계를 인식할 수
  • 페이지 19페이지
  • 가격 5,500원
  • 발행일 2009.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
로웠던 것 같다. 이러한 작품을 하도록 지도해주신 구본응 교수님께 감사드리며 글을 마친다. 참 고 문 헌 [1] LED 활용실기 (최신) 박문수 지음 갈채 [2] 고출력 LED 및 고체광원 조명기술 장우진 외 지음 | 아진(김근배) [3] PSPICE를 이용한 회로설
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기법 ② 용기 - 담아주기 ③ 연성 – 액체와 고체의 변화성 ④ 가치 – 보석으로의 의미 ⑤ 종교적, 주술적 의미 ⑥ 문학 언어로써의 특징적 의미 Ⅲ. 결론 1. 유리에 관해 ※참고 문헌
  • 페이지 20페이지
  • 가격 1,500원
  • 발행일 2016.01.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
로 움직이고 있다면 보급품은 몇 시간 후에 실으면 되겠는가? < 학습지 > 대단원 중단원 소단원 Ⅳ. 삼각비 2. 삼각비의 활용 §1. 사인, 코사인을 이용한 거리 측정 3학년 8반 이름( ) (A) 아래 그림과 같이 수평면에 대하여 기울어진 언덕길
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2010.03.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
BLDC 모터의 구성 4.2 BLDC 모터의 모델링 4.3 PWM 구현 방식 4.4 PI 전류제어기에 의한 제어 4.5 센서리스(Sensorless) BLDC 모터 제어 4.5.1 역기전력을 이용한 위치 검출 4.5.2 Sensorless BLDC 모터의 속도 제어 4.5.3 시뮬레이션 5. 결론 참고문헌
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
로의 과제이며 또 세계의 산업화 발전을 전망하며 발전할 수 있을 것이다. ※ 참고문헌 윤숙자(2001), 『한국의 저장 발효음식』, 신광출판사 이삼민,고경희,양지영,오성훈(2001) 『발효식품학』, 도서출판 효일 김우정(2006), 『콩건강과 이용』,
  • 페이지 22페이지
  • 가격 3,500원
  • 발행일 2009.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론----------------------------------- Ⅶ
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 다음
top